VHDL代码设计规范.doc

VHDL代码设计规范.doc

ID:57967285

大小:1.12 MB

页数:26页

时间:2020-04-15

VHDL代码设计规范.doc_第1页
VHDL代码设计规范.doc_第2页
VHDL代码设计规范.doc_第3页
VHDL代码设计规范.doc_第4页
VHDL代码设计规范.doc_第5页
资源描述:

《VHDL代码设计规范.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、文件履历表DocumentHistoryForm文件会签页文件标题VHDL编码规范(试用版)文件编号授权分发清单加盖受控章签名签名编制审核部门部门签名签名审核审核部门部门签名签名审核审核部门部门签名签名审核审核部门部门签名签名审核审核部门部门签名签名审核审核部门部门签名签名审核审核部门部门审批签名批准签名生效日期:质量记录编号:第1页共1页文件履历表DocumentHistoryForm文件历史记录文件编号现行版本V1.0文件标题VHDL代码设计规范文件履历版次编制日期更改内容(条款)质量记录编号:第1页共1页VHDL代码设计规范(评审稿)文件

2、编号:编制:审核:VHDL代码设计规范(定稿)文件编号:编制:审核:可靠性审核:标准化:批准:-1-目录1.目的12.范围13.术语说明14.规范列表15.规范35.1.书写规范35.1.1.命名规范35.1.2.注释规范55.1.3.其他书写规范65.2.设计规范65.2.1.复位65.2.2.时钟75.2.3.状态机75.2.4.异步信号75.2.5.其他设计规范85.3.设计常识96.附录96.1.例子说明(规范详细解释)96.2.代码模板18-1-1.目的规范VHDL的设计风格,保证代码的可读性、重用性及与现有EDA工具的一致性,从而形

3、成对设计代码的标准化管理。2.范围本标准规定了VHDL代码设计规范。本标准适用于COMBA公司技术中心。3.术语说明本规范使用的术语解释如下:级别:指该规则遵循的级别,有两个级别,分别为推荐和规定。推荐:表示在一般情况下必须遵循该规则。规定:表示必须严格遵守该规则。说明:对此规则或准则的必要的解释。示例:对此规则举例进行说明,示例分为正例和反例。正例:对此规则或准则给出的正确示例。反例:对此规则或准则给出的反面示例。4.规范列表编号级别规则书写规范R1.规定一个文件只包含一个模块,文件命名、模块命名、实体命名必须相同。文件名及其后缀必须小写.R

4、2.规定顶层文件命名方式使用器件型号、工程名与_top结合。第二层文件后缀使用_module,第三层文件使用_block,第四层之后不做定义。R3.规定模块使用功能进行划分,第二层文件及下面的模块命名,第一个单词需要与第二层文件一致。R4.推荐代码编写之前,以文档的方式,进行命名约定R5.规定命名要有实际意义。R6.规定命名标识符的首字符必须是字母,包含多个单词的标志符单词之间使用下划线分开。信号、变量等的命名最后的也一定要求是字母,中间的可以是数字或者其他合法符号。R7.规定模块、信号、变量等的命名不大于64个字符R8.推荐实体,结构名用大写

5、标识R9.推荐行为级、结构级的结构体命名分别以“BEH_实体名”和“STR_实体名”区分。如果是混合使用,或者是分不清使用了那一种结构,那么就是用“ARC_实体名”命名.R10.规定单口RAM模块命名以SPRAM作后缀;双口RAM模块命名以DPRAM作后缀;ROM模块命名以ROM作后缀;FIFO模块命名以FIFO_作后缀;数字时钟管理模块命名以DCM作后缀;锁相环模块命名以PLL作后缀;乘法模块命名以MULT作后缀;除法模块命名以DIV作后缀;加法模块命名以ADD作后缀;减法模块命名以SUB作后缀。R11.推荐模块实例化时,采用‘Un_xx_元

6、件名’标识,cell实例化时使用‘Mn_xx_元件名’标识。R12.规定模块内部定义的信号、变量采用首字母大写命名。首字母符合说明的要求R13.规定非顶层模块端口信号命名方式采用I_portname、O_portname分别对应输入、输出端口信号。R14.规定顶层模块端口信号命名要求与原理图命名一致或者是相关意义的英文单词或者字母R15.规定时钟信号必须用后缀“_clk”进行命名R16.规定对于微处理器接口的寄存器,必须包含reg标志。用下划线分开该寄存器功能特征第21页共21页R1.规定对于输入管脚时钟采样同步的信号命名要求后缀加“_buf”

7、表示。多次采样加数字区分R2.规定一些常用的基本信号按说明统一后缀命名R3.规定多比特信号,应该使用相同的比特顺序,都采用downto描述。R4.规定VHDL的保留字用小写R5.规定调用IEEE标准库时,“IEEE”用大写,其余用小写。R6.规定每个VHDL源文件应该在文件头注释文件的基本信息R7.规定每个信号,变量和端口的定义都要有注释R8.规定每个进程使用“--------”隔开。如果一个功能模块由几个进程组成,使用”--*****”隔开。R9.推荐对于内部表,注释说明表的组成、表的内容及作用R10.规定用缩进方式使得代码有层次感,缩进不要

8、使用TAB键,缩进为4个空格R11.推荐每行字符数推荐不大于90,最大不能超过120R12.规定模块端口每行定义一个。根据端口功能进行区分,类间用空行

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。