X波段线性调频信号源的设计.pdf

X波段线性调频信号源的设计.pdf

ID:57744165

大小:260.61 KB

页数:3页

时间:2020-03-27

X波段线性调频信号源的设计.pdf_第1页
X波段线性调频信号源的设计.pdf_第2页
X波段线性调频信号源的设计.pdf_第3页
资源描述:

《X波段线性调频信号源的设计.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《电气自动化)2011年第33卷第4期电子电路设计E1ectronicCircuitDesign.——×波段线性调频信号源的设计邓潘陈卫(解放军电子工程学院,安徽合肥230037)摘要:x波段线性调频信号源已在多个领域得到广泛应用。采用直接数字频率合成(DDS)激励锁相频率合成(PLL)的技术,可以弥补各自的缺点,设计了x波段(10GHz10.5GHz)线性调频信号源的实现方案,并对信号源的频率建立时间和相位噪声进行了仿真,重点研究了基于AD9854的锁相环激励信号源的设计。关键词:DDSPLLx波段线性调频信号

2、源[中图分类号]TN74[文献标志码]A[文章编号]1000—3886(2011)04—0075-02DesignofX-bandLFMSignalSourceDengPanCheni(ElectronicEngineeringInstituteofP,HefeiAnhui230037,China)Abstract:X—bandLFMsignalsourceiswidelyappliedinmanyareas.OnthebasisofDDS-drivenPLL,thispapermakesupfortheirsh

3、ortcomings,theschemeoftheLFMsignalsourceinX-band(10GHz~10.5GHzJwasdesigned.Thefrequencylockedtimeandphasenoiseofsignalsourceweresimulated.BasedonAD9854,thesource—drivenPLLWasfurtherdesigned.Keywords:DDSPLLX.bandLFMSignalSource该方案实现了DDS技术和PLL技术的优势互补,兼顾了各I’引置方面

4、的性能,可做到很高的输出频率、较快的频率切换速度、高的x波段线性调频信号源广泛应用于雷达、通信等领域,通常频率分辨率,同时也能很好的保证系统杂散和相位噪声性能。用频率合成的方法来实现。频率合成技术主要分为三类:直接模拟式频率合成技术(DAS)、间接锁相式频率合成技术(PLL)和直2X波段线性调频信号源的设计方案接数字式频率合成技术(DDS)⋯。直接模拟式频率合成需要大本文采用DDS激励PLL式的频率合成方案,来设计实现x量的晶体、滤波器、混频器等硬件,所以难于集成而逐渐被淘汰;波段(10GHz一10.5GHz)的

5、线性调频信号源。DDS输出线性调PLL具有极宽的频率范围、良好的寄生抑制性能、输出频谱纯度频信号,作为锁相环的参考信号。很高,但频率转换速度慢,频率分辨率不高;DDS技术有频率转换速度快、频率分辨率高、输出相位连续、相位噪声低等突出优点,得到了广泛应用,但是由于其全数字的结构,存在输出带宽窄和杂散抑制差的缺点。由此可见,PLL技术和DDS技术相结合,可获得高性能的信号源。DDS技术与PLL技术的组合方式通常有两种:一种是图1DDS激励PLL式的频率合成原理框图DDS激励PLL式的频率合成方案,另一种是用DDS与P

6、LL混频锁相环芯片采用ADF4108。ADF4108工作带宽为8GHz,内的频率合成方案,分为环外混频和PLL内插DDS两种。前者可含一低噪数字鉴相器、精密电荷泵、可编程的14位参考R分频以达到低相噪、宽带宽、小步进的要求,所以在设计实现x波段器、6位可编程A计数器、13位可编程B计数器和可编程双模预(10GHz~10.5GHz)的线性调频信号源时,采用DDS激励PLL式分频器(P/P+1),可实现Ⅳ倍分频(N=B·P+A)。使用时外的频率合成方案。加环路滤波器和VCO即可构成一个完整的PLL。1DDS激励PLL

7、式的频率合成方案本设计中的VCO采用Hittite公司的HMC588LCAB,其输出DDS激励PLL式的频率合成方案如图1所示。DDS的输出频率范围为8GHz~12.5GHz,输出功率为5dBm。图2为用信号作为PLL的激励源,PLL作为跟踪倍频锁相环,当锁相环稳ADISimPLL3.0软件仿真得出的ADF4108驱动HMC588LC4B的定时,频率合成器的输出频率为仿真原理图。其中,鉴相器鉴相频率为500kHz,外部参考时钟为fo=N·s=M(1)10MHz,计数器R=20,环路滤波电路采用了二阶有源滤波电路。

8、二图3为10.49931时PLL的频率建立时间仿真图,图中可以其中为DDS的时钟频率,Ⅳ为DDS相位累加器的字长,看出,约经过30.62s,PLL可将输出频率锁定在10.49931GHz。为DDS的频率控制字,为锁相环路的可编程分频比。信号源的相位噪声主要由以下因素组成:鉴相器、环路滤波器、分频器的相位噪声和参考时钟的相位噪声以及VCO的相位收稿日期:2010—10—14

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。