四选一数据选择器的设计CASE语句实现.doc

四选一数据选择器的设计CASE语句实现.doc

ID:57680579

大小:21.00 KB

页数:2页

时间:2020-08-31

四选一数据选择器的设计CASE语句实现.doc_第1页
四选一数据选择器的设计CASE语句实现.doc_第2页
资源描述:

《四选一数据选择器的设计CASE语句实现.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、重庆科创职业学院授课方案(教案)课名:教师:班级:编写时间:课题:四选一数据选择器的设计—CASE语句实现授课时数2教学目的及要求:1.掌握四选一数据选择器的原理2.用CASE设计程序并进行软件和硬件设计教学重点:CASE语句的VHDL程序设计教学难点:CASE语句的语法实现教学步骤及内容:一.复习旧课二.新课1.CASE语句理论知识讲解2.设计任务:3.设计一个4选1数据选择器,a,b,c,d为数据输入端的端口名,sel(s1,s2)为选择控制信号输入端的端口名,y为输出。4.设计过程:(1)输入设计项目并将其设为当前项目(2)

2、在文本编辑窗中设计输入二输入与非门的VHDL代码用CASE语句实现:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALLENTITYmux41ISPORT(s1,s2:instd_logic;a,,b,c,d:instd_logic;y:outstd_logic);ENDENTITYmux41;ARCHITECTUREactivOFmux41ISSIGNALs:std_logic_vector(1downto0);旁批栏:BEGINS<=s1&s2PROCESS(s1,s2,a,b,c,d)BEGINCAS

3、EsISWHEN“00”=>y<=a;WHEN“01”=>y<=b;WHEN“10”=>y<=c;WHEN“11”=>y<=d;WHENOTHERS=>y<=‘x’;ENDCASE;ENDPROCESS;ENDactiv;5.项目编译选择目标器件。选择菜单命令Assign

4、Device,弹出Device对话框。选择对话框的DeviceFamily下拉列表框中的目标器件(EPM7128SLC84-10)引脚指定,编译。6.项目时序仿真创建波形文件—输入信号节点—设置仿真时间—编辑输入节点波形-运行仿真7.引脚指定指定输入输出对应的芯

5、片的引脚,注意一些引脚不能用。选择菜单命令Assign

6、Pin/Location/Chip,将设计的二输入与非门与目标芯片(本例为EPM7128SLC84-10)联系起来.8.程序下载Max+PlusII-progeammer-JTAG-Multi-DeviceJTAGchainsetup-SelectProgrammingfile–找到你所要的.pof文件-add-OK9.实验箱上现象的分析描述与验证。三.小结:对学生在实验过程中遇到的问题进行分析,总结,做出合理的评价。四.作业将程序输入到MAX+PLUSII软件进行相关操作,

7、完成实验报告。旁批栏:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。