EDA实验报告材料-实验3计数器电路设计.doc

EDA实验报告材料-实验3计数器电路设计.doc

ID:56925393

大小:462.05 KB

页数:12页

时间:2020-07-24

EDA实验报告材料-实验3计数器电路设计.doc_第1页
EDA实验报告材料-实验3计数器电路设计.doc_第2页
EDA实验报告材料-实验3计数器电路设计.doc_第3页
EDA实验报告材料-实验3计数器电路设计.doc_第4页
EDA实验报告材料-实验3计数器电路设计.doc_第5页
资源描述:

《EDA实验报告材料-实验3计数器电路设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、暨南大学本科实验报告专用纸课程名称EDA实验成绩评定实验项目名称计数器电路设计指导教师郭江陵实验项目编号03实验项目类型验证实验地点B305学院电气信息学院系专业物联网工程组号:A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器

2、组设定为2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。请参考前面第二章中关于“电源模块”的说明。二、实验目的1、了解各种进制计数器设计方法2、了解同步计数器、异步计数器的设计方法3、通过任意编码计数器体会语言编程设计电路的便利三、实验原理时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。输出显示模块用VHDL

3、实现。四、实验容1、用74161构成8位二进制同步计数器(程序为T3-1);2、用74390构成8位二——十进制异步计数器(程序为T3-2);3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3):0,2,5,3,4,6,1五、实验要求学习使用Altera建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。六、设计框图首先要熟悉传统数字电路中同步、异步计数器的工作与设计。在MAX+PLUSII中使用建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计

4、模块结合起来形成完整的计数器电路。并借用前面设计的数码管显示模块显示计数结果。◆74161构成8位二进制同步计数器(程序为T3-1)触发信号数据总线开关LED数码管显示计数器2时钟源1计数器1进位时钟源2◆七、原理图◆8位二进制同步计数器原理图(程序为T3-1)字形码转换高4位计数器低4位计数器4位二路开关模块说明:采用了两个74161计数器,一个用来作为低位计数,另一个作为高位计数器。数据总线开关(4位二路开关)模块用于将计数器输出的数据分路切换为LED数码管显示所需要的数据格式。字形码转换模块调用前面实验所设计的模块。八、实验电路连线与使用操作A:为

5、独立扩展下载板上第86脚,应接“数码管段位引线”接线组“KPL_AH”的AB:为独立扩展下载板上第87脚,应接“数码管段位引线”接线组“KPL_AH”的BC:为独立扩展下载板上第88脚,应接“数码管段位引线”接线组“KPL_AH”的CD:为独立扩展下载板上第89脚,应接“数码管段位引线”接线组“KPL_AH”的DE:为独立扩展下载板上第90脚,应接“数码管段位引线”接线组“KPL_AH”的EF:为独立扩展下载板上第92脚,应接“数码管段位引线”接线组“KPL_AH”的FG:为独立扩展下载板上第93脚,应接“数码管段位引线”接线组“KPL_AH”的GSEL

6、0:为独立扩展下载板上第69脚,为数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的SS0引线插孔。SS1、SS2接地(即在电源引线插孔组GND孔处)。RESET:为独立扩展下载板上第68脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个插孔CKCNT:为独立扩展下载板上第70脚,应接时钟信号源接线组“CLOCK(T)”的“FRQ(18~21)”引线插孔CKDSP:为独立扩展下载板上第79脚即GCLK1,应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔备注:程序T3-1、T3-2、T3-

7、3的插线均相同,其中T3-3中CLK与T3-1的CKCNT定义引脚相同。使用操作:◆8位二进制同步计数器使用操作demo3top:程序下载以后,在“动态键盘显示模块”的二个数码管DS8.7A上显示计数值,围为00~FFH的二位十六进制数,并循环递增计数。九、波形仿真分析4为2路开关选择器模块仿真结果输入信号:sel:高低四位选择控制为,高电平选低四位,低电平选高四位D_IN:输入8位数输出信号:D_out:根据选择输出高四位或低四位数波形分析结果如上图在两个timebar之间,sel为高电平输出了D_IN的低四位数,在两个timebar两边sel为低电平

8、,输出了D_IN的高四位,结果正确。字行码转换模块仿真结果如下图输入信号:D_I

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。