DC综合操作流程_设置流程.doc

DC综合操作流程_设置流程.doc

ID:56907197

大小:2.72 MB

页数:19页

时间:2020-07-23

DC综合操作流程_设置流程.doc_第1页
DC综合操作流程_设置流程.doc_第2页
DC综合操作流程_设置流程.doc_第3页
DC综合操作流程_设置流程.doc_第4页
DC综合操作流程_设置流程.doc_第5页
资源描述:

《DC综合操作流程_设置流程.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、总流程1:库的设置2:设计的读入3:设置环境属性(1)set_operating_conditions(2)set_wire_load_model和set_wire_load_mode(3)setload(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion(2)set_max_capacitance(3)set_max_fanout5:优化约束(1)create_clock(2)set_clock_uncertainty(3)set_clock_latency(4)set_input_delay(5)

2、set_output_delay(6)set_false_path(7)set_multicycle_path(8)set_max_delay和set_min_delay(9)set_max_area7:一些编译命令及DC的输出格式注意:1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复!!!总流程:1:对库进行基本设置,如下:设置完成后应该查看.synopsys_dc.setup里面库的设置和软件applicationsetup处的设置是否一样!DC的初始化文件.synopsys.dc.setup需要用ls–a显示,命令:m

3、ore.synopsys.dc.setup查看文件内容!2:读入设计,两种方法:read和analyze+elaborateAnalyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件3:设置环境定义:如果不指定operating_conditions,DC自动搜索link_library中的第一个库的工作环境作为优化时使用的工作环境。(1)set_operating_conditions

4、:工作条件包括三方面—温度、电压以及工艺;工作条件一般分三种情况:bestcase,typicalcase,worstcase图形界面:#1:先进入thesymbolviewofthetop界面,选择top模块#2:attributes—operatingenvironment—operatingconditions命令方式:#1:可通过report_liblibraryname命令来查看,如下图查看的是slow.db库的工作条件,则使用命令:report_libslow,右边是report_libfast。另外一个例子,只是为了说明库中的libraryname必

5、须是用report_lib命令得到下面图形中的conditions里面的库的name:(******自己想的******)#2:一般综合时候只需考虑最差和最好两种情况即可,最差情况用于做建立时间(setuptime)的时序分析,最好情况用于做保持时间(holdtime)的时序分析。最差情况-max下使用slow.db库,最好情况-min下使用fast.db库;{最差和最好情况和温度以及电压有很大关系,温度越大,延时越大;电压越大,延时越小;不过温度对延时的作用更大}所以:##1:做建立时间分析时候用最差情况,命令:set_operating_conditions–

6、max“slow”##2:如果既要分析建立时间,又要分析保持时间,则需要两条命令:set_min_libraryslow.db–min_versionfast.dbset_operating_conditions–minfast–maxslow首先通过命令set_min_library同时设置worst-case和best-case的library,然后通过set_operating_conditions命令指定不同环境下使用的库模型;上面的命令指定的是:fast库用于对holdtime优化,slow库用于对setuptime进行优化。set_operating_

7、conditions–minfast–maxslow命令中的–minfast和–maxslow可以互换。(1)set_wire_load_model和set_wire_load_mode命令方式:#1:set_wire_load_model:设置连线负载模型,为了估计模块输出的时序—transitiontime;DC默认输出负载为0负载模型可以通过report_liblibraryname命令下查看线的模型种类,如下图是fast.db库中的几种线的模型。在布局布线前应使用较悲观的模型,对最坏的情况做综合,线负载模型由目标库提供。-max–min选项指定该模型用于估

8、计最大路径

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。