基于VHDL语言 3-8译码器的设计.doc

基于VHDL语言 3-8译码器的设计.doc

ID:56483433

大小:49.00 KB

页数:3页

时间:2020-06-24

基于VHDL语言 3-8译码器的设计.doc_第1页
基于VHDL语言 3-8译码器的设计.doc_第2页
基于VHDL语言 3-8译码器的设计.doc_第3页
资源描述:

《基于VHDL语言 3-8译码器的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:项目满分5分得分一、实验名称实验4:3-8译码器的设计二、任务及要求【基本部分】4分1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。2、设计完成后生成一个元件,以供更高层次的设计调用。3、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。【发挥部分】1分修改设计,完成3-6译码器的设计,并进行时序仿真。三、实

2、验程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydecoderisport(en:instd_logic;a,b,c:instd_logic;y:outbit_vector(7downto0));endentitydecoder;architectureart4ofdecoderissignalsr:std_logic_vector(2downto0);beginsr<=c&b&a;process(sr

3、)isbegincasesriswhen"000"=>y<="00000001";when"001"=>y<="00000010";when"010"=>y<="00000100";when"011"=>y<="00001000";when"100"=>y<="00010000";when"101"=>y<="00100000";when"110"=>y<="01000000";when"111"=>y<="10000000";whenothers=>y<="00000000";endcase;endproce

4、ss;endarchitectureart4;一、仿真及结果分析用三位拨码开关代表译码器的输入端a、b、c,将之分别与EP1K30TC144-3芯片的相应管脚相连;用LED灯来表示译码器的输出,将D0...D7对应的管脚分别与8只LED灯相连。从图中可见,首先判断使能端口en状态,当满足高电平时,判断三个输入端口A、B、C的状态;来决定八个输出的状态。输入en和A、B、C需要独立可变的输入激励,所以最好选择开关,独立可变,易于控制;译码器输出为8位数据,使用独立显示各个数据位的设备较好,故选用8个LED知识灯来

5、表示。具体引脚分配见下表。二、硬件验证1、选择模式:模式62、引脚锁定情况表:一、小结通过这次实验,让我更深刻的了解了QuartusII这个软件的使用,也学会了怎么用VHDL语言来实现设计。实验期间也遇到了很多问题,在同学和老师的帮助下解决了困难,总之,通过这次实验,让我受益匪浅。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。