CPLD及电子CAD课程学习报告.doc

CPLD及电子CAD课程学习报告.doc

ID:55631170

大小:1.46 MB

页数:16页

时间:2020-05-21

CPLD及电子CAD课程学习报告.doc_第1页
CPLD及电子CAD课程学习报告.doc_第2页
CPLD及电子CAD课程学习报告.doc_第3页
CPLD及电子CAD课程学习报告.doc_第4页
CPLD及电子CAD课程学习报告.doc_第5页
资源描述:

《CPLD及电子CAD课程学习报告.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、CPLD及电子CAD课程学习报告实验一:3-8译码器的设计该实验是以硬件直接连接,使我们对MAX+PLUS2这个软件有个基本的了解,并且会使用它的基本功能,例如编译、仿真、下载等功能。实验目的:1.通过一个简单的3—8译码器的设计,让学生掌握组合逻辑电路的设计方法;2.初步了解EPLD设计的全过程,初步掌握Altera软件的使用;3.掌握组合逻辑电路的静态测试方法。实验过程:1:首先建立一个以GDF为后缀的文本,画出3—8译码器的原理图:2:设计项目的编译:选择Start即可开始编译。MAX+PLUSⅡ编译器将检查项目是否有错。3.在底层

2、图编辑器中观察适配结果以及管脚的重新分配、定位。4.对原理图进行波形仿真,逻辑功能正确后下载测试。实验小结:这是第一次接触MAX+PLUS2软件进行译码器设计,虽然操作不怎么熟练,但能最终完成实验,并得到相应的试验结果。通过对MAX+PLUS的使用,我们顺利的完成了3-8译码器的设计.在前续课程的学习中已经知道,所谓译码器就是将具有特定涵义的二进制码进行辨别,并转换成控制信号,而这恰恰是我们这次实验最终需要看到的结果.在完成了上述电路的连接之后,我们验证了它的功能,该译码器有3个输入,8种组合状态,通过控制输入高低电平,可以得到不同的状态

3、,通过这次试验让我们对译码器有了更深入的了解。实验二:3-8译码器的设计(VHDL)实验目的:学习用TEXT编写VHDL程序,并掌握其意义及用法。实验内容:1.用VHD语言编写3-8译码器的程序(程序如下):libraryieee;USEieee.std_logic_1164.all;USEieee.std_logic_unsigned.all;Entityyl65_ymq38isport(m,n,p:instd_logic;y:outstd_logic_vector(7downto0));end;architectureoneofyl6

4、5_ymq38isbeginprocess(m,n,p)beginifm='0'andn='0'andp='0'theny<="";elsifm='0'andn='0'andp='1'theny<="";elsifm='0'andn='1'andp='0'theny<="";elsifm='0'andn='1'andp='1'theny<="";elsifm='1'andn='0'andp='0'theny<="";elsifm='1'andn='0'andp='1'theny<="";elsifm='1'andn='1'andp='0'

5、theny<="";elsifm='1'andn='1'andp='1'theny<="";endif;endprocess;end;2.编程编好后进行编译,检查是否有错,没错之后进行仿真,仿真结果如下。小结:通过这次的学习,我初步学习了如何用VHDL进行编程,了解了该语言的语句意义和使用方法,掌握了一门新的应用工具。实验三:十进制计数器的设计(VHDL)实验目的:1:掌握VHD语言的基本操作;2:掌握VHD语言产生的逻辑电路图;3:掌握十进制计数器的原理实验内容:1.用VHD语言编写十进制计数器的程序(程序如下):libraryieee

6、;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityl65_c10isport(clk,clr,en:instd_logic;q:outstd_logic_vector(3downto0);c_out:outstd_logic);end;architectureoneofyl65_c10issignalm:std_logic_vector(3downto0);beginprocess(clk,clr,en)beginifclr='1'thenm<="0000";

7、elsifclk'eventandclk='1'thenifen='0'thenifm="1001"thenm<="0000";c_out<='1';elsem<=m+1;c_out<='0';endif;endif;endif;endprocess;q<=m;end;2.保存为.vhd格式的文件,并编译源程序,测试有无错误。3.在MAX+PLUSⅡ中选择Simulator,进行仿真。得到以下图4.得到译码器模型小结:这次亲自用VHDL语言编程10进制和60进制计数器让我对该语言的使用和其语句所表达的意义有了更清楚的认识,并且初步懂得了平

8、时经常使用但不知其中工作原理的器件的工作方法实验四:多路选择器的设计(VHDL)实验目的:1.熟练运用VHDL语言编写元器件;2.学习报时电路、分频电路、二选一电路的原理。实验原理:1.报时电

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。