多功能数字钟课程设计VHDL代码书上程序改.doc

多功能数字钟课程设计VHDL代码书上程序改.doc

ID:55573593

大小:62.00 KB

页数:19页

时间:2020-05-18

多功能数字钟课程设计VHDL代码书上程序改.doc_第1页
多功能数字钟课程设计VHDL代码书上程序改.doc_第2页
多功能数字钟课程设计VHDL代码书上程序改.doc_第3页
多功能数字钟课程设计VHDL代码书上程序改.doc_第4页
多功能数字钟课程设计VHDL代码书上程序改.doc_第5页
资源描述:

《多功能数字钟课程设计VHDL代码书上程序改.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、libraryieee;useieee.std_logic_1164.all;entityclockisport(clk1hz:instd_logic;--1hz脉冲--clk100:instd_logic;--100hz脉冲--weekclk:instd_logic;--星期调整脉冲--start_stop:instd_logic;--秒表启动/停止控制--reset:instd_logic;--秒表复位--adclk:instd_logic;--校时脉冲--setselect:instd_lo

2、gic;--调整位选择脉冲--mode:instd_logic;--功能选择脉冲--showdate:instd_logic;--日期显示--dis:outstd_logic_vector(23downto0);--显示输出--glisten:outstd_logic_vector(5downto0);--闪烁指示--weekout:outstd_logic_vector(3downto0);--星期输出--qh:outstd_logic--整点报时--);endclock;architectur

3、earchofclockiscomponentadjustport(adclk:instd_logic;data_in:outstd_logic_vector(7downto0));endcomponent;componentcontrolport(setclk:instd_logic;setlap:outstd_logic_vector(1downto0);mode:instd_logic;module:outstd_logic_vector(2downto0));endcomponent;co

4、mponentweekcounterport(clk:instd_logic;clk2:instd_logic;q:outstd_logic_vector(3downto0));endcomponent;componentstopwatchport(clk:instd_logic;reset:instd_logic;start_stop:instd_logic;centsec:outstd_logic_vector(7downto0);sec:outstd_logic_vector(7downto

5、0);min:outstd_logic_vector(7downto0));endcomponent;componenth_m_s_countport(clk:instd_logic;set:instd_logic;setlap:instd_logic_vector(1downto0);d:instd_logic_vector(7downto0);sec:outstd_logic_vector(7downto0);min:outstd_logic_vector(7downto0);hour:out

6、std_logic_vector(7downto0);qh:outstd_logic;qc:outstd_logic);endcomponent;componenty_m_d_countport(clk:instd_logic;set:instd_logic;setlap:instd_logic_vector(1downto0);data_in:instd_logic_vector(7downto0);day:outstd_logic_vector(7downto0);month:outstd_l

7、ogic_vector(7downto0);year:outstd_logic_vector(7downto0));endcomponent;componentdisplayport(module:instd_logic_vector(2downto0);showdate:instd_logic;clk:instd_logic;setlap:instd_logic_vector(1downto0);watch:instd_logic_vector(23downto0);time:instd_log

8、ic_vector(23downto0);date:instd_logic_vector(23downto0);dis:outstd_logic_vector(23downto0);glisten:outstd_logic_vector(5downto0));endcomponent;signaldata_in,mcentsec,msec,mmin,ssec,smin,shour,sdate,smonth,syear:std_logic_vector(7downto0);signa

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。