基于fpga数字秒表设计毕业设计论文

基于fpga数字秒表设计毕业设计论文

ID:5453137

大小:2.51 MB

页数:28页

时间:2017-12-13

基于fpga数字秒表设计毕业设计论文_第1页
基于fpga数字秒表设计毕业设计论文_第2页
基于fpga数字秒表设计毕业设计论文_第3页
基于fpga数字秒表设计毕业设计论文_第4页
基于fpga数字秒表设计毕业设计论文_第5页
资源描述:

《基于fpga数字秒表设计毕业设计论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、目录1.秒表设计要求12.设计思路12.1功能模块12.1.1分频器12.1.2计数器12.1.3数据锁存器12.1.4控制器12.1.5扫描显示的控制电路22.1.6显示电路32.1.7按键消抖电路33.电路实现44.程序仿真104.1分频器104.1.1计数器电路综合114.1.2计数器电路仿真114.2同步计数器134.2.1计数器实现134.2.2计数器仿真154.2.3同步计数器电路综合174.3按键消抖电路184.3.1按键消抖电路实现184.3.2按键消抖电路仿真184.3.3按键消抖电路综合2

2、04.4八段译码器204.4.1八段译码器实现204.4.2八段译码器仿真214.4.3八段译码器电路综合224.5控制器234.5.1控制器234.5.1控制器仿真244.5.3控制器电路综合255.2ViewTechnologySchematic:265.3管脚锁定:276.实验结论271.秒表设计要求(1)秒表的计时范围为00:00:00~59:59:99。(2)两个按钮开关Start/Stop和Split/Reset,控制秒表的启动、停止、分段和复位:在秒表已经被复位的情况下,按下“Start/Sto

3、p”键,秒表开始计时。在秒表正常运行的情况下,如果按下“Start/Stop”键,则秒表暂停计时;再次按下该键,秒表继续计时。在秒表正常运行的情况下,如果按下“Split/Reset”键,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。在秒表暂停计时的情况下,按下“Split/Reset”键,秒表复位归零。2.设计思路2.1功能模块2.1.1分频器对晶体振荡器产生的时钟信号进行分频,产生时间基准信号2.1.2计数器对时间基准脉冲进行计数,完成计时功能2.1.3数据锁存器锁存数据使显示

4、保持暂停2.1.4控制器通过产生锁存器的使能信号来控制计数器的运行、停止以及复位设计分析:262.1.5扫描显示的控制电路包括扫描计数器、数据选择器和7段译码器,控制8个数码管以扫描方式显示计时结果,原理图如下:26实验电路板上的按键2.1.6显示电路2.1.7按键消抖电路消除按键输入信号抖动的影响,输出单脉冲实验板上的数码管为共阳LED数码管按键按下时,FPGA的输入为低电平;松开按键时,FPGA的输入为高电平但是在按下按键和松开按键的瞬间会出现抖动现象2.2电路框图263.电路实现------------

5、------------------------------------------------------------------------Company:--Engineer:----CreateDate:09:08:3903/12/2011--DesignName:--ModuleName:stopwatch_1-Behavioral--ProjectName:--TargetDevices:--Toolversions:--Description:----Dependencies:----Revis

6、ion:--Revision0.01-FileCreated--AdditionalComments:------------------------------------------------------------------------------------libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;----Uncommentthefollowin

7、glibrarydeclarationifinstantiating----anyXilinxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VComponents.all;entitystopwatch_1isPort(Clk:inSTD_LOGIC;start_stop:inSTD_LOGIC;split_reset:inSTD_LOGIC;ncs:outSTD_LOGIC;s:outSTD_LOGIC_VECTOR(2downto0);seg:outST

8、D_LOGIC_VECTOR(7downto0)26);endstopwatch_1;architectureBehavioralofstopwatch_1issignalk1,k2,k3,k4:STD_LOGIC;signalcnt_1,cnt_2:STD_LOGIC_VECTOR(1downto0);signalstart_stop_out,split_reset_out:STD_LOGIC;s

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。