数据选择器设计(实验报告).doc

数据选择器设计(实验报告).doc

ID:53092943

大小:239.00 KB

页数:6页

时间:2020-04-01

数据选择器设计(实验报告).doc_第1页
数据选择器设计(实验报告).doc_第2页
数据选择器设计(实验报告).doc_第3页
数据选择器设计(实验报告).doc_第4页
数据选择器设计(实验报告).doc_第5页
资源描述:

《数据选择器设计(实验报告).doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验报告实验中心电子子信息技术实验中心专业年级电子信息科学与技术2012级实验课程EDA技术与课程设计姓名实验名称实验一:数据选择器设计学号提交日期成绩一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。二、实验设备GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台三、实验内容1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。源程序为:EN

2、TITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;6图(1)2选1多路选择器的编译图、图(2)功能仿真的波形图图(3)功能引脚图6图(4)2选1多路选择器的RTL电路2.将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并

3、对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序:图(5)双二选一多路选择器的编译图6图(6)双路数据选择器功能仿真图图(7)双二选一多路选择器的引脚锁定图图5双2选1多路选择器图(8)双路数据选择器RTL电路图6图(9)编程下载图3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2和a1分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy

4、仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5接1024Hz,clock2接8Hz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。图(10)实验电路模式56图(11)功能引脚图四、实验总结1实验存在的问题上学期尽管学过quartusII但是由于长时间没有操作,很多都已经忘了,所以操作起来不是很熟练。特别是程序下载方面。2解决问题的方法通过在实验中慢慢回忆起以前学的知识,渐渐熟悉其过程。再有问题就和同学交流帮忙!3实验收获对quartus

5、II的操作方法更熟练了,也明白它是干什么的了!对软件有了更深的认识。同时也明白了!不管哪方面的学习都要温故而知新。6

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。