FPGA设计基础_第4章设计验证.ppt

FPGA设计基础_第4章设计验证.ppt

ID:52603760

大小:675.01 KB

页数:79页

时间:2020-04-11

FPGA设计基础_第4章设计验证.ppt_第1页
FPGA设计基础_第4章设计验证.ppt_第2页
FPGA设计基础_第4章设计验证.ppt_第3页
FPGA设计基础_第4章设计验证.ppt_第4页
FPGA设计基础_第4章设计验证.ppt_第5页
资源描述:

《FPGA设计基础_第4章设计验证.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第四章设计验证随着集成度的提高,系统的规模日益庞大且复杂。强壮的系统应有完备的验证作保障。验证工作量远大于系统设计工作量。验证工作的基本技术和方法。Page1目前,验证一般通过仿真实现本章节中,验证和仿真不加区分4.1验证概述Page2验证过程是证明设计正确的过程,验证的目的是为了保证设计实现与设计规范是一致的,保证从设计规范开始,经过一系列变换后得到的网表与最初的规范是一致的,整个变换的过程是正确的。4.1.1验证的概念Page34.1验证概述从自然语言表述的系统规范变换成完整的、可验证和无二义性的系统规范。从系统规范变换成可实现的

2、模块设计规范。从模块设计规范变换成RTL及代码描述。从RTL代码通过综合工具变换成门级网表。从门级网表通过后端布局布线工具变换成具有延时信息的网表。FPGA设计可能包含的几个变换:Page44.1验证概述验证是一个多次重复的过程,是一个不断向期望结果靠近的过程。系统规范网表交换验证Page54.1验证概述验证和测试是ASIC设计流程中两个不同的环节。验证的目的则是为了确认设计符合设计规范,目前验证一般通过仿真实现。测试的目的是为了确认生产后的设计产品是否合格、正确,一般由加工厂家或封装测试厂家完成。4.1.2验证和测试(Verific

3、ationvsTest)4.1验证概述系统规范网表芯片电路设计生产验证(仿真)测试对物理器件高低电平的确认不属于本教材范畴对设计规范及功能的确认Page64.1验证概述传统的电子线路设计验证方法4.1.3Top-Down/Bottom-Up验证方法目前的电子线路设计验证方法逻辑设计、画电路图、搭建电路、测试验证借助EDA工具在计算机上进行RTL级设计和验证。Page74.1.3Top-Down/Bottom-Up验证方法Top-Down验证法系统级验证:根据系统规范对系统进行建模,并对建立的模型进行验证。功能验证:验证设计的RTL代码

4、应符合系统规范。主要方法--功能仿真(形式化验证为辅)。门级网表验证:通过功能仿真或形式化工具检验RTL代码和综合后网表的是否相等。时序验证:验证综合后含有延时信息的网表时序是否满足要求。对于同步设计一般通过静态时序分析工具完成验证。Page84.1.3Top-Down/Bottom-Up验证方法Bottom-Up验证法模块级验证----验证模块的各种工作情况,保证每个单元的设计质量。子系统验证、系统级验证----分层次组装模块进行子系统验证直至完成系统级验证。备注:系统级验证,目标是验证整个设计的功能。验证主要集中在设计和外环境之间

5、能否协调工作,包括一些极端情况、边界条件和错误处理等。Page9目前主流的两类“验证技术”:4.1.4验证技术(1)基于形式化的验证-----通过数学的方法,证明设计的功能是否与规范一致。等价性检验:比较两个设计是否完全等价。两个网表比较,网表与RTL代码比较模型检验:根据设计的RTL代码,提取有限状态机并穷举搜索设计状态空间,验证设计特性。模型检验工具:Cadence的FormalCheck、IBM的Sugar和Sypopsys的Vera局限性很大1、设计规模越来越大复杂2、模型检验所描述的特性有限4.1.4验证技术(2)基于Tes

6、tBench的验证(目前主要的验证方式)Testbench——测试平台即:Testbench产生激励给被验证设计(DUV)或待测设计(DUT),同时检查DUV/DUT输出是否满足要求Page104.1.4验证技术TB结构模型黑盒验证法白盒验证法灰盒验证法解释:DUV/DUTDesignUnderVerificationDesignUnderTestTestbench功能:为DUV/DUT提供激励信号正确实例化DUV/DUT将仿真数据显示在终端或者存为文件,也可以显示在波形窗口用于分析复杂设计可以使用EDA工具,自动比较仿真结果与理想值

7、。激励实例化DUT终端显示值、存成文件波形显示自动比较结果正确性4.1.5仿真工具1、仿真器仿真器是验证中最常用的工具。仿真器试图创建一个能够模拟真实设计的工作环境,使验证工程师和设计进行交互,在设计生产之前发现设计错误,以减少损失。之所以称为仿真器,是因为它们是真实状态的一种近似。Page11Page124.1.5仿真工具验证人员在仿真器中,通过testbench为设计提供输入激励(为电路建立模拟工作环境),仿真器通过一定方式与验证人员交互,将电路的输出状态随环境变化的信息反映给验证人员。业界常用的仿真器有:Cadence的NC-V

8、erilog,Synopsys的VCS和Mentor的ModelSimPage134.1.5仿真工具最常见的和仿真器一起使用的验证工具。通过波形观察器的图形界面,设计人员可以直观地观察随时间变化的信号以及信号之间的相互关

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。