《硬件描述语言》PPT课件.ppt

《硬件描述语言》PPT课件.ppt

ID:52370980

大小:1.10 MB

页数:153页

时间:2020-04-05

《硬件描述语言》PPT课件.ppt_第1页
《硬件描述语言》PPT课件.ppt_第2页
《硬件描述语言》PPT课件.ppt_第3页
《硬件描述语言》PPT课件.ppt_第4页
《硬件描述语言》PPT课件.ppt_第5页
资源描述:

《《硬件描述语言》PPT课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第九章VHDL程序基础9.1VHDL程序结构9.2VHDL的语言元素9.3VHDL的基本描述语句9.4VHDL结构体的三种描述方法9.5有限状态机的设计硬件描述语言VHDLVHDL的英文全称是VHSIC(VeryHighSpeedIntegratedCircuits)HardwareDescriptionLanguage。于1983年由美国国防部提出,由IEEE进一步发展,并在1987年12月作为IEEE-STD-1076标准公布发行,1993年被更新为IEEE-STD-1164-1993,目前已被广泛应用。1995年我国技术监督

2、局制定的《CAD通用技术规范》推荐VHDL作为我国电子设计自动化硬件描述语言的国家标准。至此,VHDL在我国迅速普及,现在已成为从事硬件电路设计开发人员所必须掌握的一门技术。硬件描述语言VHDL的特点1、支持自顶向下的设计方法2、系统硬件描述能力强--行为描述、数据流描述、结构描述。3、系统仿真能力强4、工艺无关性初学者如何学好VHDL1、掌握时钟的概念。2、注意VHDL编程与软件编程的差别。3、语法学习贵精不贵多,靠练不靠背。4、注意VHDL语句的可综合性。9.1.1VHDL程序基本结构例1一个2输入的与门的逻辑描述LIBRAR

3、Yieee;USEieee.std_logic_1164.ALL;ENTITYand2ISPORT(a,b:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDand2;ARCHITECTUREand2xOFand2ISBEGINy<=aANDb;ENDand2x;实体部分结构体部分库(Library)包(Package)实体Entity结构体Architecture配置Configuration存放已编译的实体、结构体、包集合和配置存放各设计模块能共享的数据类型、常数、子程序等。定义电路的输入/输出接口描述电路内部的功

4、能。决定哪一个结构体被使用。VHDL程序基本结构VHDL中常用的资源库为IEEE库。IEEE库:常用的资源库。IEEE库中的三个重要的包集合:STD_LOGIC_1164STD_LOGIC_ARITH(算术运算库)、STD_LOGIC_UNSIGNED等库(LIBRARY)库说明语句的语法形式为:LIBRARY库名;--说明使用什么库USE库名.程序包名.项目名;--说明使用库中哪个程序包及包集合中的项目;库和程序包的用法LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGI

5、C_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;WORK库:WORK库是现行作业库。设计者所描述的VHDL语句不需要任何说明,将都存放在WORK库中。WORK库对所有设计都是隐含可见的,因此在使用该库时无需进行任何说明。一点注意:要求:设计文件不能直接存放在根目录下,必须建立一个英文文件夹,系统自动将其视为work库。实体的一般格式为:ENTITY实体名IS[端口说明];END;实体名必须与文件名相同。ENTITY、IS、END是VHDL的关键字(保留字)。实体名、端口名等均应为符合VHDL命

6、名规则的标识符。端口:指实体中的每一个I/O信号,其功能对应于电路图符号的一个引脚。端口说明则是对一个实体的一组端口的定义,即对基本设计实体与外部接口的描述。端口是设计实体和外部环境动态通信的通道。实体(ENTITY)ENTITYand2IS…ENDand2;端口说明的一般格式为:PORT(端口名{,端口名}:端口模式数据类型;端口名{,端口名}:端口模式数据类型);端口模式用来说明数据传输通过该端口的方向。端口模式有以下几类:IN(输入):仅允许数据流进入端口。主要用于时钟输入、控制输入、单向数据输入。OUT(输出):仅允许数据

7、流由实体内部流出端口。该模式通常用于终端计数一类的输出,不能用于反馈。BUFFER(缓冲):该模式允许数据流出该实体和作为内部反馈时用,但不允许作为双向端口使用。INOUT(双向):可以允许数据流入或流出该实体。该模式也允许用于内部反馈。如果端口模式没有指定,则该端口处于缺省模式为:IN。数据类型原则上可以是任何标准的数据类型和用户自定义类型。inininoutoutbufferinoutmodemode模式及其信号源补充说明:在端口说明时,可将几个同样方向、同样数据类型的端口放在同一说明语句里。最后一条端口说明语句后不加分号;P

8、ORT(a,b:INSTD_LOGIC;y:OUTSTD_LOGIC);ENTITYadd4ISPORT(a,b:INSTD_LOGIC_VECTOR(3downto0);Ci:INSTD_LOGIC;Sum:OUTSTD_LOGIC_VECTOR

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。