基于FPGA的哈特曼光斑图像处理系统设计.pdf

基于FPGA的哈特曼光斑图像处理系统设计.pdf

ID:52206548

大小:852.34 KB

页数:4页

时间:2020-03-24

基于FPGA的哈特曼光斑图像处理系统设计.pdf_第1页
基于FPGA的哈特曼光斑图像处理系统设计.pdf_第2页
基于FPGA的哈特曼光斑图像处理系统设计.pdf_第3页
基于FPGA的哈特曼光斑图像处理系统设计.pdf_第4页
资源描述:

《基于FPGA的哈特曼光斑图像处理系统设计.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第34卷第4期锣lI峻—阜;Vo1.34No.42016年4月MACHINERY8LELECTRONICSApr.2016基于FPGA的哈特曼光斑图像处理系统设计刘国成,翟超(中国科学技术大学工程科学学院,安徽合肥230027)摘要:为了提高哈特曼光斑图像数据的采集和实时处理速度,采用FPGA作为控制和处理的硬件平台,通过FPGA采集到CCD上形成的光斑图像,在FPGA内部完成算法处理过程,得到每一个光斑点的质心数据,并将结果上传到上位机。同时,在光斑图像特征的基础上,设计了一种改进的连通城扫描标记

2、处理算法。关键词:哈特曼光斑~FPGA;连通域标记中圈分类号:TP391文献标识码:A文章编号:1001—2257(2016)04—0055—04DesignofProcessingSystemforHartmannSpotImageBasedonFPGALIUGuocheng,ZHAIChao(SchoolofEngineeringScience,UniversityofScienceandTechnologyofChina,Hefei230027,China)Abstract:Inorderto

3、improvetheacquisitionandreal——timeprocessingspeedofShack_-HartmannWavefrontSensorspotimagedata,FPGAisadoptedasthecontrolandprocessinghardwareplatform.ThespotimageformedontheCCDcameraistransmittedandprocessedwithFPGA.Astheprocessresults,everyspotcentroi

4、ddataistransferredtohostPC.Onthebasisofthespotimagecharacteristics。thispa-perproposesanimprovedscanningmethodforconnectedcomponentlabelingisproposed.Keywords:Hartmannspotimage;FPGA;connectedcomponent1abeling难满足系统的实时性要求。FPGA作为可编程的硬O引言件平台,具有计算的并行性;采用的连通域

5、标记和哈特曼波前传感器目前已有广泛的应用,它主灰度重心法的特点是数据量和计算量很大,但没有要由CCD和微透镜阵列组成,微透镜阵列将入射波复杂的算术运算,算法结构较为简单,因此非常适前聚焦到CCD感光面上,形成一幅阵列光斑图像。合在FPGA平台上实现[2]。理想的平面光波透过微透镜阵列形成一幅分布均1系统设计匀的光斑阵列,当前面的空气扰动或者其他的原因造成光波产生畸变时,其在CCD上形成的光斑点就系统设计框图如图l所示。所用的CCD相机会发生偏移。因此,在实际应用中,计算出测量过的分辨率为1k×1k大

6、小,采集到的图像数据是8位程中偏移的光斑质心相对于事先标定的标准位置深度的灰度图,以像素为单位,按照从上到下、从左的偏移量,即可重建波前以作测量控制之用[1]。到右的顺序,依次实时传输到FPGA的内部。相机在计算图像中光斑的质心时,随着CCD图像分的输出接口是IEEE1394接口,又称火线接口,是一辨率的提高,导致所要处理的图像数据量也大大增种高速数据传输接口,多用于视频数据的传输。选加,采用PC机和DSP等传统的数据处理方式就很用的FPGA芯片是Altera公司的收稿日期I2016一O3一O4作者

7、筒介:刘叠成(1992一),男,安徽阜阳人,硕士研究生,研究方向为机械电子工程}翟超(1968一),男,河北秦皇岛人,教授,研究方zzzPsgiolePfrp向为机电一体化设计、测控技术与几何测量新方法等。·55·zzzPsgiolePfrpzzzPsgiolePfrpzzzPsgiolePfrpzzzPsgiolePfrp够ll畈县霄备错标判断与重新标记的流程如图7所示。果如表1所示,光纤亮点顺序按照从上到下、从左到读区域像素数存储器RAM5右排列。仿真及实验结果表明,系统设计符合预期要求。读RA

8、M6读出该区域的标记值个数读RAM7顺序读出一个标记值读RAM8读出该标记值包含的有效方格数读RAM9和RAM4顺序读出一个方格按像素对方格进行连通域重新标记■图8光纤亮点表1处理结果<垂===N<一判断重新标记过程结束图7错标判断与重新标记流程2.7质心计算电路经过方格标记与重新标记的处理流程后,已经可以把每一个光斑都分割开来,得到相应的灰度值累加、灰度与横坐标乘积累加和灰度与纵坐标累计,利用式(1)和式(2)的灰度重心计算公式即可得4结束语到每一个光斑的质心坐标引

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。