杭电计组实验四.doc

杭电计组实验四.doc

ID:51584575

大小:174.00 KB

页数:8页

时间:2020-03-13

杭电计组实验四.doc_第1页
杭电计组实验四.doc_第2页
杭电计组实验四.doc_第3页
杭电计组实验四.doc_第4页
杭电计组实验四.doc_第5页
资源描述:

《杭电计组实验四.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验报告2016年4月22日成绩:姓名学号班级14083612专业信息安全课程名称《计算机组成原理课程设计》任课老师曾虹指导老师曾虹机位号实验序号实验名称寄存器堆实验时间2016.4.22实验地点1教南实验设备号一、实验程序源代码moduleReg(LED,RW_SW,AB,Reg_Addr_A,Reg_Addr_B,Write_Reg,clk,rst);input[4:0]Reg_Addr_A,Reg_Addr_B;inputWrite_Reg;input[1:0]RW_SW;inputclk;inputrst;output[7:0]LED;inputAB;reg[31:0]R

2、EG_File[0:31];reg[7:0]LED;wire[31:0]W_Data1=32'h00000001;wire[31:0]W_Data2=32'h0000000A;wire[31:0]W_Data3=32'h00000006;wire[31:0]W_Data4=32'h00000003;wire[31:0]A=REG_File[Reg_Addr_A];wire[31:0]B=REG_File[Reg_Addr_B];integeri;always@(posedgeclkorposedgerst)beginif(AB)case(RW_SW)2'b00:LED=A[7:0

3、];2'b01:LED=A[15:8];2'b10:LED=A[23:16];2'b11:LED=A[31:24];default:LED=A[7:0];endcaseelsecase(RW_SW)2'b00:LED=B[7:0];2'b01:LED=B[15:8];2'b10:LED=B[23:16];2'b11:LED=B[31:24];default:LED=B[7:0];endcaseif(rst)for(i=0;i<32;i=i+1)REG_File[i]=0;elsebeginif(Write_Reg)beginif(AB)case(RW_SW)2'b00:REG_F

4、ile[Reg_Addr_A]=W_Data1;2'b01:REG_File[Reg_Addr_A]=W_Data2;2'b10:REG_File[Reg_Addr_A]=W_Data3;2'b11:REG_File[Reg_Addr_A]=W_Data4;endcaseelsecase(RW_SW)2'b00:REG_File[Reg_Addr_B]=W_Data1;2'b01:REG_File[Reg_Addr_B]=W_Data2;2'b10:REG_File[Reg_Addr_B]=W_Data3;2'b11:REG_File[Reg_Addr_B]=W_Data4;en

5、dcaseendendendendmodule二、仿真波形三、电路图四、引脚配置(约束文件)NET"Add[4]"LOC="T5";NET"Add[3]"LOC="V8";NET"Add[2]"LOC="U8";NET"Add[1]"LOC="N8";NET"Add[0]"LOC="M8";NET"WR"LOC="V9";NET"C[1]"LOC="T9";NET"C[0]"LOC="T10";NET"clk"LOC="C9";NET"RS"LOC="D9";NET"AB"LOC="A8";NET"LED[7]"LOC="T11";NET"LED[6]"LOC="R11";NET

6、"LED[5]"LOC="N11";NET"LED[4]"LOC="M11";NET"LED[3]"LOC="V15";NET"LED[2]"LOC="U15";NET"LED[1]"LOC="V16";NET"LED[0]"LOC="U16";五、思考与探索寄存器地址写入数据读出数据$000000000_00000000_0000$000010000_00030000_0003$000117FFF_FFFF7FFF_FFFF$001117FFF_FFFF7FFF_FFFF$011110000_00000000_0000$111110000_00030000_0003$10000

7、7FFF_FFFF7FFF_FFFF$110007FFF_FFFF7FFF_FFFF修改后的寄存器模块:‘timescale1ns/1psMoudleRegister(R_Addr_A,R_Addr_B,R_Data_A,R_Data_B,W_Addr,W_Data,Write_Reg,Clk,Reset);input[4:0]R_Addr_A;input[4:0]R_Addr_B;input[4:0]W_Addr;input[31:0]W_Data;inputWrite_Reg

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。