杭电计组实验5-存储器设计实验.doc

杭电计组实验5-存储器设计实验.doc

ID:51278223

大小:178.50 KB

页数:6页

时间:2020-03-21

杭电计组实验5-存储器设计实验.doc_第1页
杭电计组实验5-存储器设计实验.doc_第2页
杭电计组实验5-存储器设计实验.doc_第3页
杭电计组实验5-存储器设计实验.doc_第4页
杭电计组实验5-存储器设计实验.doc_第5页
资源描述:

《杭电计组实验5-存储器设计实验.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验报告2018年5月5日成绩:姓名阳光男学号16041321班级16052317专业计算机科学与技术课程名称《计算机组成原理与系统结构试验》任课老师张翔老师指导老师张翔老师机位号默认实验序号5实验名称《实验五存储器设计实验》实验时间2018/5/12实验地点1教211实验设备号个人电脑、Nexys3开发板一、实验程序源代码存储器顶层电路代码:moduletop_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED);input[7:2]Mem_Addr;//开关的3、4、5、6、7、8位inputMem_Write,Clk;//clk为按键C9,读写使能信号

2、为按键C4,0为读,1为写input[1:0]C;//选择写入数据+读操作时选择显示字节,为开关1、2位outputreg[7:0]LED;wire[31:0]M_R_Data;//存在存储器里的32位读出数据reg[31:0]M_W_Data;//寄存在暂存器的32位写入数据RAM_Btest_ram(.clka(Clk),//输入时钟信号.wea(Mem_Write),//输入读写信号.addra(Mem_Addr[7:2]),//输入5位地址信号.dina(M_W_Data),//写入32位数据.douta(M_R_Data)//读出32位数据);always@(*)b

3、eginLED=0;//初始化M_W_Data=0;//初始化if(!Mem_Write)//读操作begincase(C)2'b00:LED=M_R_Data[7:0];//读出数据的0-7位2'b01:LED=M_R_Data[15:8];//读出数据的8-15位2'b10:LED=M_R_Data[23:16];//读出数据的16-23位2'b11:LED=M_R_Data[31:24];//读出数据的24-31位endcaseendelsebegincase(C)2'b00:M_W_Data=32'h0055_7523;//写入第一个数据2'b01:M_W_Data=

4、32'h1234_5678;//写入第二个数据2'b10:M_W_Data=32'h8765_4321;//写入第三个数据2'b11:M_W_Data=32'hffff_ffff;//写入第四个数据endcaseendendendmodule测试代码:moduletest;//Inputsregclka;reg[0:0]wea;reg[5:0]addra;reg[31:0]dina;//Outputswire[31:0]douta;//InstantiatetheUnitUnderTest(UUT)RAM_Buut(.clka(clka),.wea(wea),.addra(a

5、ddra),.dina(dina),.douta(douta));initialbegin//InitializeInputsclka=0;wea=0;addra=0;dina=0;//Wait100nsforglobalresettofinish#100;//Addstimulushereclka=1;wea=0;addra=6'b000001;dina=32'h0000_0003;#100;clka=0;wea=0;addra=6'b000001;dina=32'h0000_0607;#100;clka=1;wea=1;addra=6'b000001;dina=32'hF

6、FFF_FFFF;#100;clka=0;wea=1;addra=6'b000001;dina=32'hFFFF_FFFF;endendmodule二、仿真波形三、电路图顶层电路模块顶层电路内部结构:四、引脚配置(约束文件)NET"Clk"LOC=C9;NET"LED[4]"LOC=M11;NET"LED[3]"LOC=V15;NET"LED[2]"LOC=U15;NET"LED[1]"LOC=V16;NET"LED[0]"LOC=U16;NET"Mem_Addr[7]"LOC=U8;NET"Mem_Addr[6]"LOC=N8;NET"Mem_Addr[5]"LOC=M8;

7、NET"Mem_Addr[4]"LOC=V9;NET"Mem_Addr[2]"LOC=T10;NET"Mem_Write"LOC=C4;NET"Mem_Addr[3]"LOC=T9;NET"C[1]"LOC=T5;NET"C[0]"LOC=V8;NET"LED[7]"LOC=T11;NET"LED[5]"LOC=N11;NET"LED[6]"LOC=R11;五、思考与探索(1)读操作实验结果记录表存储器地址初始化数据读出数据写入新数据读出数据5’b00000032’b0000000032’b000000

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。