数字电子技术综合实验Helpc.doc

数字电子技术综合实验Helpc.doc

ID:51032073

大小:1.19 MB

页数:18页

时间:2020-03-08

数字电子技术综合实验Helpc.doc_第1页
数字电子技术综合实验Helpc.doc_第2页
数字电子技术综合实验Helpc.doc_第3页
数字电子技术综合实验Helpc.doc_第4页
数字电子技术综合实验Helpc.doc_第5页
资源描述:

《数字电子技术综合实验Helpc.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、数字电子技术综合实验---------MAX+PLUSII快速入门MAX+PLUSII是Altera公司的全集成化可编程逻辑设计环境。它的界面友好,在线帮助完备,初学者也可以很快学习掌握。完成高性能的设计。另外,在进行原理图输入时,可以直接放置74系列逻辑芯片,所以对于普通爱好者来说,即使不使用Altera的可编程器件,也可以把MAX+PLUSII作为逻辑仿真工具,不用搭建硬件电路,即可对自己的设计进行调试,验证。下面以具体实例介绍MAX+PLUSIIV10.0的使用。功能·MAX+PLUSII的编译核心支持Altera

2、的FLEX10K、FLEX8K、MAX9000、MAX7000、FLASHlogic、MAX5000、Classic系列可编程逻辑器件;·MAX+PLUSII的设计输入、处理与校验功能一起提供了全集成化的一套可编程逻辑开发工具,可加快动态调试,缩短开发周期;·MAX+PLUSII支持各种HDL设计输入,包括VHDL、Verilog和Altera的AHDL;·MAX+PLUSII可与其他工业标准设计输入、综合与校验工具链接。与CAE工具的接口符合EDIF200和209、参数化模块库(LPM)、Verilog、VHDL及其它

3、标准。设计者可使用Altera或标准CAE设计输入工具去建立逻辑设计,使用MAX+PLUSII编译器对Altera器件设计进行编译,并使用Altera或其它CAE校验工具进行器件或板级仿真。MAX+PLUSII支持与Synopsys、Viewlogic、MentorGraphics、Cadence、Exemplar、DataI/O、Intergraph、Minc、OrCAD等公司提供的工具接口;使用使用MAX+PLUSII进行设计包括四个阶段:设计输入、设计处理、设计验证和器件编程。下面以一个最简单的例子,用ALTERA

4、的EPLD——EPF10k10实现二分频器,来示范用MAX+PLUSII进行开发的全过程。首先启动MAX+PLUSII,进入集成开发环境运行:maxstart.exe设计输入建立一个新设计输入文件,这里我们采用原理图方式GraphicEditorfile(*.gdf)来进行设计输入,这是最方便,最直观的逻辑输入方法选择“OK”进入编辑状态接着输入逻辑元件,在编辑区的空白处双击鼠标。在SymbolName栏输入dff,表示D触发器选择“OK”,D触发器就被放在编辑区内。放置器件时,在SymbolLibraries框中选择p

5、rim库,就可以选择常用的门器件;在SymbolLibraries框中如选择mf库,就可以选择常用的74系列逻辑芯片。下面再放一个反相器not:在反相器上按鼠标右键,将反相器旋转180度下面放置I/O脚,输入脚:input,输出脚:output。常用器件名称prim库(三态门->btri;与门->and2,and3..;与非门->band2,..;或门->or2,or3..,异或门->xor,非门->not,D触发器->dff,dffe带有使能端;JK触发器->jkff..;T触发器->tff;输入->input;输出-

6、>output;mf库(74ls161->74161;74ls290->74290;..依次对应),注意在设计时只用在器件的输出部分才可以用三态门,逻辑电路的内部不要使用三态门,这是由器件结构所决定的,可参看数电关于cpld,fpga结构部分)。鼠标移动到器件的端上就变小十字,拖动即可画线,如图连接在PING_NAME上单击,选editpinname编辑管脚名为clk,clr、q1,q0.好了,设计输入告一段落,将设计文件存盘,file->Saveas–>count4.gdf编译上面已经完成了原理图的输入,需要给设计指定

7、一个工程名,选择File->Project->SetProjecttoCurrentFile,将当前工程名设为当前文件名下面定义器件,即定义用哪种器件来实现设计,选择Assign->Device,在弹出窗口中选择FLEX10K系列的EPF10K10LC84-4,确认。注:若找不到EPF10K10LC84-4,请将上图showonlyfastestspeedgrades前面的√去掉,就可找到EPF10K10LC84-4。准备开始编译,选择MAX+plusII->Compiler,弹出编译窗口,按Start开始编译编译结束之

8、后,在编译窗口中的rpt图标上双击,可打开编译报告文件,其中有便宜后的管脚分配图,可看到我们定义的管脚clk,clr,q1和q0。分配I/O脚上面MAX+PLUSII完成了编译,把我们定义的I/O脚自动分配给了器件EPF10K10LC84-4,也许你对MAX+PLUSII自动分配的管脚不满意,没关系,自己定义,选择M

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。