实验一 3-8译码器的设计.ppt

实验一 3-8译码器的设计.ppt

ID:50064246

大小:6.65 MB

页数:38页

时间:2020-03-08

实验一 3-8译码器的设计.ppt_第1页
实验一 3-8译码器的设计.ppt_第2页
实验一 3-8译码器的设计.ppt_第3页
实验一 3-8译码器的设计.ppt_第4页
实验一 3-8译码器的设计.ppt_第5页
资源描述:

《实验一 3-8译码器的设计.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、1任务分析:电路工作原理1知识准备:基于PLD的电路设计流程2任务实施:图形输入法实施步骤3任务一图形法---三八译码器2一、任务分析:三八译码器工作原理译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。三八译码器属于二进制译码器,即它具有N个输入端,2n个输出端和一个使能输入端。在使能输入端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。下图为三八译码器的真值表。图1-1三八译码器的引脚图3图1-2三八译码器的真值表4因此得到本次任务的原理电路如下

2、图1-3:图1-3三八译码器原理电路51设计准备2设计输入3适配编译4时序仿真5编程与验证二、知识准备:基于PLD的电路设计流程61、设计输入:可以采用原理图输入、HDL语言描述、EDIF网表输入及波形输入等几种方式。2、编译:先根据设计要求设定编译参数和编译策略,如器件的选择、逻辑综合方式的选择等。然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合和器件适配,并产生报告文件、延时信息文件及编程文件,供分析仿真和编程使用。3、仿真:仿真包括功能仿真、时序仿真和定时分析,可以利用软件的仿真功能来验证设计项目的逻辑功能是否正确。4、编程与验证:用经过仿真

3、确认后的编程文件通过编程器(Programmer)将设计下载到实际芯片中,最后测试芯片在系统中的实际运行性能。在设计过程中,如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重复上述过程。三、任务实施(一)设计输入:1、软件的启动:单击“开始”进入“程序”选中“Max+PlusII10.0E”,打开“”MaxplusII软件。2、启动FileNew菜单,弹出设计输入选择窗口,如图所示:3、选择GraphicEditorFile,单击OK,打开原理图编辑器,进入原理图设计输入电路编辑状态。4、设计输入1)放置器件在原理图上a、在原理图的空白处双击

4、鼠标左键,出现下图:b、在光标处输入元件名称(如:input,output,and2,and3,nand2,or2,not,xor,dff等)或用鼠标点击库元件,按下OK即可。c、如果安放相同的元件,只要按住Ctrl键,同时用鼠标按左键拖动该元件复制即可。d、一个完整的电路包括:输入端口input、电路元件集合、输出端口output。e、下图为3-8译码器元件安放结果。2)添加连线到器件的引脚上:把鼠标移到元件引脚附近,则鼠标自动由箭头变为十字,按住鼠标左键拖动,即可画出连线。3)标记输入/输出端口属性:双击输入端口的“PINNAME”,当变成黑色时,即可

5、输入标记符并回车确认;输出端口标记方法类似。本译码器的三输入端分别标记为:A、B、C;其八输出端分别为:Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7。如下图所示。4)保存原理图单击保存按钮图表,对于新建文件,出现类似文件管理器图框,请选择保存路径/文件名称保存原理图,原理图的扩展名为.gdf,本实验中取名为74LS138.gdf。(注意:新建项目,一定要建立一个专门的文件夹保存项目文件,在编译过程中将有大量新文件产生。)5)点击FileProjectSetprojecttocurrentFile设置此项目为当前项目文件,如图所示。注意此操作在你打开几

6、个原有项目文件时尤为重要,否则编译时容易出错。至此,你已完成了一个电路的原理图的设计输入过程。(二)电路的编译与适配1、选择芯片型号选择当前项目文件欲设计实现的实际芯片进行编译适配,单击Assign

7、Device选择芯片,如图所示。2、编译适配启动MaxplusIICompiler菜单,按Start开始编译,并显示编译结果,生成下载文件。如果编译时选择的芯片是CPLD,则生成*.pof文件;如果是FPGA芯片的话,则生成*.sof文件,以被硬件下载编程时调用。同时生成*.rpt报告文件,可详细察看编译结果。如果有错误待修改后再进行编译适配,如图所示。(三

8、)电路仿真与时序分析一)添加仿真激励波形1、启动MaxplusIIWavefromEditor菜单,进入波形编辑窗口,如图所示。2、将鼠标移至空白处并单击右键,出现如图所示对话窗口。3、选择EnterNodesfromSNF选项,并按左键确认,出现如图所示对话框,单击“”和“”按钮,选择欲仿真的I/O管脚。4、单击OK按钮,列出仿真电路的输入、输出管脚图,如图所示。在本电路中,3-8译码器的输出为网格,表示未仿真前输出是未知的。5、调整管脚顺序,符合常规习惯,调整时只需选中某一管脚(如)并按住鼠标左键拖到相应的位置即可完成。调整后如图所示。6、准备为电路

9、输入端添加激励波形。选中欲添加信号的管脚,窗口左边的信号源即可变成

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。