EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt

EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt

ID:50021093

大小:1.11 MB

页数:92页

时间:2020-03-07

EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt_第1页
EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt_第2页
EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt_第3页
EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt_第4页
EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt_第5页
资源描述:

《EDA技术实用教程(第二版) 教学课件 作者 潘松 黄继业 第2版第4章_VHDL设计初步.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章VHDL设计初步EDA技术实用教程4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述【例4-1】ENTITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINy<=aWHENs='0'ELSEb;ENDARCHITECTUREone;4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述(1)以关键词ENTITY引导,ENDENTITYmux21a结尾的语句部分,称为实体。图4-1mux21a实体4.1多路选

2、择器VHDL描述4.1.12选1多路选择器的VHDL描述(2)以关键词ARCHITECTURE引导,ENDARCHITECTUREone结尾的语句部分,称为结构体。图4-2mux21a结构体4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述【例4-2】ENTITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISSIGNALd,e:BIT;BEGINd<=aAND(NOTS);e<=bANDs;y<=dORe;ENDARCHITECTUR

3、Eone;4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述【例4-3】...ARCHITECTUREoneOFmux21aISBEGINy<=(aAND(NOTs))OR(bANDs);ENDARCHITECTUREone;4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述【例4-4】ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELS

4、Ey<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;4.1多路选择器VHDL描述4.1.12选1多路选择器的VHDL描述图4-3mux21a功能时序波形4.1多路选择器VHDL描述4.1.2VHDL相关语法说明1.实体表达【例4-5】ENTITYe_nameISPORT(p_name:port_mdata_type;...p_namei:port_midata_type);ENDENTITYe_name;4.1多路选择器VHDL描述4.1.2VHDL相关语法说明2.实体名3.PORT语句和端口信号名4.端口模式“IN”、“OUT”、“I

5、NOUT”、“BUFFER”5.数据类型BIT4.1多路选择器VHDL描述4.1.2VHDL相关语法说明6.结构体表达【例4-6】ARCHITECTUREarch_nameOFe_nameIS(说明语句)BEGIN(功能描述语句)ENDARCHITECTUREarch_name;4.1多路选择器VHDL描述4.1.2VHDL相关语法说明7.信号传输(赋值)符号和数据比较符号8.逻辑操作符AND、OR、NOT9.IF_THEN条件语句IF语句必须以语句“ENDIF;”结束4.1多路选择器VHDL描述4.1.2VHDL相关语法说明10.WHEN_ELSE条件信号赋值语句赋

6、值目标<=表达式WHEN赋值条件ELSE表达式WHEN赋值条件ELSE...表达式;11.PROCESS进程语句和顺序语句12.文件取名和存盘4.1多路选择器VHDL描述4.1.3VHDL设计的基本概念和语句小节实体:以ENTITY...ENDENTITYe_name描述器件的端口特性。结构体:以ARCHITECTURE...ENDARCHITECTURE给出器件的逻辑功能和行为。4.1多路选择器VHDL描述4.1.3VHDL设计的基本概念和语句小节端口定义:以PORT()语句定义器件端口及其数据类型。端口模式:IN、OUT、INOUT、BUFFER描述端口数据的流向

7、特征。数据类型:数据对象承载数据的类别:BIT的定义值为逻辑'1'和'0'。4.1多路选择器VHDL描述4.1.3VHDL设计的基本概念和语句小节信号赋值符:“<=”,用于信号数据的传输,仿真传输延时最短为一个。条件比较符:“=”,在条件语句表式中用于比较待测数据的关系。延时:模拟器最小分辨时间,或称延时。4.1多路选择器VHDL描述4.1.3VHDL设计的基本概念和语句小节逻辑操作符:在AND(与)、OR(或)和NOT(取反)等的作用下可构成组合电路。IF条件语句:IF_THEN_ELSE语句作为顺序语句,可用于描述组合电路。并行条件语句:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。