北邮 数电实验报告.doc

北邮 数电实验报告.doc

ID:49228260

大小:223.26 KB

页数:13页

时间:2020-03-01

北邮 数电实验报告.doc_第1页
北邮 数电实验报告.doc_第2页
北邮 数电实验报告.doc_第3页
北邮 数电实验报告.doc_第4页
北邮 数电实验报告.doc_第5页
资源描述:

《北邮 数电实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字电路实验报告学院:信息与通信工程专业:信息工程班级:2013211125学号:2013210681姓名:袁普实验一:QuartusⅡ原理图输入法设计与实现一:实验要求①:用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。②:用实验一生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。③:用3线—8线译码器和逻辑门设计实现函数F,仿真验证其功能,下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。二:报告内容①:实验一(2)的原理图用两个已经

2、生成的半加器图形模块单元和一个双输入或门即可实现全加器②:仿真波形图以及分析波形图:波形分析:通过分析abci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。③:故障及问题分析第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。实验二:用VHDL设计和实现组合逻辑电路一:实验要求①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其

3、功能。②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。二:故障及问题分析在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0

4、~5六个管脚,从而达到了实验的要求。实验三:用VHDL设计和实现时序逻辑电路一:实验要求①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容①实验三(3)模块端口说明及模块代码模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一

5、个时钟输入,即可在输出端得到一个周期更大的方波输出。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydiv12isport(clear,clk:instd_logic;clk_out:outstd_logic);enddiv12;architecturestructofdiv12issignaltemp:integerrange0to5;signalclktmp:std_logic;beginprocess(clk,clear)beginif(clear='1'

6、)thentemp<=0;elsifclk'eventandclk='1'thenif(temp=5)thentemp<=0;clktmp<=notclktmp;elsetemp<=temp+1;endif;endif;endprocess;clk_out<=clktmp;end;模块二:count10是一个有高电平复位功能的8421十进制计数器,将分频器的输出作为时钟信号接为计数器的输入,即可在输出端得到计数的下一状态的输出。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all

7、;entitycount10isport(clk,clear:instd_logic;q:outstd_logic_vector(3downto0));endcount10;architecturestructofcount10issignalq_temp:std_logic_vector(3downto0);beginprocess(clk)beginif(clk'eventandclk='1')thenifclear='1'thenq_temp<="0000";elsifq_temp="1001"thenq_temp<="0000";elseq_temp<=q

8、_temp

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。