第7章 Verilog设计的层次与风格.ppt

第7章 Verilog设计的层次与风格.ppt

ID:48805555

大小:821.00 KB

页数:58页

时间:2020-01-26

第7章 Verilog设计的层次与风格.ppt_第1页
第7章 Verilog设计的层次与风格.ppt_第2页
第7章 Verilog设计的层次与风格.ppt_第3页
第7章 Verilog设计的层次与风格.ppt_第4页
第7章 Verilog设计的层次与风格.ppt_第5页
资源描述:

《第7章 Verilog设计的层次与风格.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第7章Verilog设计的层次与风格主要内容◆结构(Structural)描述◆行为(Behavioural)描述◆数据流(DataFlow)描述◆基本组合电路设计◆基本时序电路设计Verilog设计的描述风格结构(Structural)描述行为(Behavioural)描述数据流(DataFlow)描述7.1Verilog设计的层次在Verilog程序中可通过如下方式描述电路的结构◆调用Verilog内置门元件(门级结构描述)◆调用开关级元件(晶体管级结构描述)◆用户自定义元件UDP(也在门级)7.2结构(Structural)描述Verilog的内置门

2、元件门元件的调用调用门元件的格式为:门元件名字<例化的门名字>(<端口列表>)其中普通门的端口列表按下面的顺序列出:(输出,输入1,输入2,输入3……);比如:anda1(out,in1,in2,in3);//三输入与门对于三态门,则按如下顺序列出输入输出端口:(输出,输入,使能控制端);比如:bufif1mytri1(out,in,enable);//高电平使能的三态门门元件的调用对于buf和not两种元件的调用,需注意的是:它们允许有多个输出,但只能有一个输入。比如:notN1(out1,out2,in);//1个输入in,2个输出out1,out2b

3、ufB1(out1,out2,out3,in);//1个输入in,3个输出out1,out2,out3【例7.1】调用门元件实现的4选1MUXmodulemux4_1a(out,in1,in2,in3,in4,s0,s1);inputin1,in2,in3,in4,s0,s1;outputout;wires0_n,s1_n,w,x,y,z;not(sel0_n,s0),(s1_n,s1);and(w,in1,s0_n,s1_n),(x,in2,s0_n,s1),(y,in3,s0,s1_n),(z,in4,s0,s1);or(out,w,x,y,z);en

4、dmodule用基本门实现的4选1MUX原理图7.3行为描述就是对设计实体的数学模型的描述,其抽象程度远高于结构描述方式。行为描述类似于高级编程语言,当描述一个设计实体的行为时,无需知道具体电路的结构,只需要描述清楚输入与输出信号的行为,而不需要花费更多的精力关注设计功能的门级实现。【例7.2】用case语句描述的4选1MUXmodulemux4_1b(out,in1,in2,in3,in4,s0,s1);inputin1,in2,in3,in4,s0,s1;outputregout;always@(*)//使用通配符case({s0,s1})2'b00:

5、out=in1;2'b01:out=in2;2'b10:out=in3;2'b11:out=in4;default:out=2'bx;endcaseendmodule采用行为描述方式时需注意用行为描述模式设计电路,可以降低设计难度。行为描述只需表示输入与输出之间的关系,不需要包含任何结构方面的信息。设计者只需写出源程序,而挑选电路方案的工作由EDA软件自动完成。在电路的规模较大或者需要描述复杂的逻辑关系时,应首先考虑用行为描述方式设计电路,如果设计的结果不能满足资源占有率的要求,则应改变描述方式。7.4数据流描述数据流描述方式主要使用持续赋值语句,多用于描

6、述组合逻辑电路,其格式为:assignLHS_net=RHS_expression;右边表达式中的操作数无论何时发生变化,都会引起表达式值的重新计算,并将重新计算后的值赋予左边表达式的net型变量。【例7.4】数据流描述的4选1MUXmodulemux4_1c(out,in1,in2,in3,in4,s0,s1);inputin1,in2,in3,in4,s0,s1;outputout;assignout=(in1&~s0&~s1)

7、(in2&~s0&s1)

8、(in3&s0&~s1)

9、(in4&s0&s1);endmodule数据流描述用数据流描述模式设计

10、电路与用传统的逻辑方程设计电路很相似。设计中只要有了布尔代数表达式就很容易将它用数据流方式表达出来。表达方法是用Verilog中的逻辑运算符置换布尔逻辑运算符即可。比如,如果逻辑表达式为:,则用数据流方式描述为:assignF=(a&b)

11、(~(c&d))。7.5不同描述风格的设计对设计者而言,采用的描述级别越高,设计越容易;对综合器而言,行为级的描述为综合器的优化提供了更大的空间,较之门级结构描述更能发挥综合器的性能,所以在电路设计中,除非一些关键路径的设计采用门级结构描述外,一般更多地采用行为建模方式。【例7.12】调用门元件实现的1位全加器modul

12、efull_add1(a,b,cin,sum,cout);inpu

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。