硬件描述语言-基本结构.ppt

硬件描述语言-基本结构.ppt

ID:48770441

大小:249.00 KB

页数:51页

时间:2020-01-23

硬件描述语言-基本结构.ppt_第1页
硬件描述语言-基本结构.ppt_第2页
硬件描述语言-基本结构.ppt_第3页
硬件描述语言-基本结构.ppt_第4页
硬件描述语言-基本结构.ppt_第5页
资源描述:

《硬件描述语言-基本结构.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、3.1VHDL的产生及发展3.2VHDL的最简单结构3.3实体3.4结构体3.5VHDL程序的结构第三章VHDL程序的结构硬件描述语言(HDL)HardwareDescriptionLanguage数字电路描述的最新流行趋势是硬件描述语言。FPGA设计越来越复杂,使用硬件描述语言已经逐渐成为一种趋势。当前国内外普遍使用的主流硬件描述语言只有两种:VHDL和VerilogHDL。引言1、VHDL:(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)超高速集成电路硬件描述语言由美国国防部组织开发。1

2、981年成立工作小组,1986年12月成为IEEE标准,1993年被重新修订,并使用至今。美国国防部规定其为官方硬件设计语言。1995年,中国国家技术监督局出版的《CAD通用技术规范》中,推荐VHDL为我国硬件描述语言的国家标准。2、VerilogHDL:以C语言为基础,创建于1983年。1995年成为IEEE标准。IEEE(InstituteofElectricalandElectronicsEngineers)美国电气及电子工程师学会3、关于VHDL与VerilogHDL的比较:都是IEEE的工业标准硬件描述语言。不存在优劣之分。相同电路用这两种硬件描述语

3、言分别编码,长度也大体相同。现在常用的各种仿真/综合工具均为二者通用。使用那种HDL通常和习惯有关,一个公司通常习惯于使用其中一种。任意一种硬件描述语言的基本格式包括以下两个基本要素:1.输入和输出的定义(输入和输出说明)2.输出如何响应输入的定义(工作原理)3.2VHDL的最简单结构利用电路图描述输入和输出之间的关系ENTITYand_gateIS--定义程序名(实体)PORT(a,b:INBIT;--定义输入端口y:OUTBIT);--定义输出端口ENDand_gate;--实体结束语句ARCHITECTUREfirstOFand_gateIS--定义结构

4、体BEGINy<=aANDb;--电路功能的具体描述ENDfirst;--结构体结束语句VHDL语言中的关键字用大写字母来表示【例3-1】2输入与门的VHDL语言描述“实体”是对这个设计实体与外部电路进行接口的描述,它规定了设计单元的输入输出接口信号或引脚,它描述了一个系统或者电路的外观图。实体语句结构如下:ENTITY实体名IS[GENERIC(类属表)];[PORT(端口说明)];END实体名;例:ENTITYor2ISPORT(a,b:INBIT;c:OUTBIT);ENDor2;3.2.1实体的格式注意:实体应以语句“ENTITY实体名IS”开始,语句

5、“END实体名;”结束。1、类属参量(GENERIC)类属参量是实体说明组织中的可选项,放在端口说明之前,其一般格式为:GENERIC(参数名:类型名[:=缺省值];………………………………);例:GENERIC(trise,tfall:TIME:=1ns;Addrwidth:INTEGER:=16);PORT(a0,a1:INSTD_LOGIC;Add_bus:OUTSTD_LOGIC_VECTOR(addrwidth-1DOWNTO0);这里类属参量中参数trise为上升沿宽度,tfall为下降沿宽度,用于仿真模块的设计;定义地址总线的宽度为Addrwid

6、th位,类属值Addrwidth的改变将使结构体中所有相关的总线定义同时改变,由此使整个设计实体的硬件结构发生变化。类属参量是一种端口界面常数,常用来规定端口的大小、实体中子元件的数目及实体的定时特性等。设计者可以通过类属参量的重新设定而容易的改变一个设计实体或一个元件的内部电路结构和规模。2、端口说明(PORT)端口说明用于描述实体和外部电路的接口信号,也可以说是对外部引脚信号的名称、数据类型和输入输出方向的描述。其一般书写格式为:PORT(端口名:端口方向数据类型;端口名:端口方向数据类型;……);其中端口名是设计者为实体的每一个对外通道所取的名字,通常为

7、英文字母加数字,名字的定义有一定的惯例,如clk表示时钟,D开头的端口名表示数据,A开头的端口名表示地址。端口模式是指这些通道上的数据流动的方式,如输入或输出等。端口模式有以下几种类型:1.输入(IN)允许信号进入实体,主要用于时钟输入、控制输入(如load、reset、enable、clk)和单向的数据输入(如地址数据信号address)等。2.输出(OUT)输出端口仅允许数据或者信号流出系统或者电路,不允许流入。常用于计数输出、单向数据输出、被设计实体产生的控制其他实体的信号输出等。注意:输出模式不能用于被设计实体的内部反馈,因为输出端口在实体内不能看做是

8、可读的。3.双向模式(INOUT)双向

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。