数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx

数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx

ID:48388281

大小:275.93 KB

页数:11页

时间:2019-10-16

数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx_第1页
数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx_第2页
数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx_第3页
数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx_第4页
数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx_第5页
资源描述:

《数字电子技术实验报告-基于Quartus-II的硬件描述语言电路设计.docx》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、数字电子技术基础实验报告题目:实验四基于QuartusII的硬件描述语言电路设计小组成员:小组成员:一、实验四基于QuartusII的硬件描述语言电路设计一、实验目的1)学习并掌握硬件描述语言VHDL;熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。2)熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。3)熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。4)熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。、实验要求要求1:参考“参考内容1”中给出的与门源程序,编写一个异或门

2、逻辑电路。1)用QuartusTT波形仿真验证;2)下载到DEO开发板验证。要求2:参考“参考内容2”中给出的将8421BCD码转换成0-9的七段码译码器源程序,编写一个将二进制码转换成0-E的七段码译码器。1)用QuartusII波形仿真验证;2)下载到DEO开发板,利用开发板上的数码管验证。要求3:参考“参考内容3”屮给出的四位二进制计数器的源程序,编写一个计数器实现0-E计数。用QuartusII波形仿真验证;要求4:参考“参考内容4”中给出的50M分频器的源程序,编写一个能实现占空比50%的5

3、M和50M分频器即两个输出,输岀信号频率分别为10Hz和1Hz。下载到DEO开发板验证。(提示:利用DEO板上己有的50M品振作为输入信号,通过开发板上两个的LED灯观察输出信号)。电路框图如下:10赭兹闪烁1赫兹闪烁要求5:利用已经实现的VHDL模块文件,顶层文件采用原理图设计方法,实现0-E计数自动循环显示,频率lllz和10Hz可以切换。(提示:如何将VIIDL模块文件在顶层原理图文件中引用,参考参考内容5)三、实验设备(1)电脑一台;(2)数字电路实验箱;(3)数据线一根。!1!实验原理1.V

4、HDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VIIDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。2.VHDL具有多层次的设计描述功能,既可以描述系统级电路,乂可以描述门级电路。而描述既可以采用行为描述、寄存器传输播述或结构播述,也可以采用三者混合的混合级描述。另外,VHD

5、L支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VIIDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。3.VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。4.VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬

6、件电路设计。五、实验内容1、(要求一)(1)VHDL语言描述:-1LIBRARYIEEE;2USEIEEE・STD_LOGTC_1164・ALL;3-4HENTITYexa3_xorIS5HPORT(arb:IN~STD_LOGIC;ec:outstd_logic7;丁ENDexa3_xor;8_9BARCHITECTUREfwmOFexa3_xorIS10HBEGIN—11C<=AXORB;12END;

7、(2)原理图(Multisim和QuartusII中绘制的原理图):2、(要求二)(1)VHDL

8、语言描述:piSMAYIU£;USEIttE.STD.LOGIC^l1C4.AU;aEXTITYexaa_8421k>cd_fISaPORT(dau_in:IXSTD.L0GIC3TCT0a(3DOMfTO0);di»_oux:0OTSTD-LO示WWTO0));EXDe*a264c

9、01OOOO1111OOOO111000000001111111SSSESS999999991999991000000-;1111001°;0100100-;0110000•/0011001-J0010010-;0000010°;11110W;0000000*;0010000-;0001000-;0000011•/1000110*;0100001•:oooono-i•mniriEXDCASE;EXDPR0C1SS;DOfvx;(1)原理图(Multisi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。