硬件描述语言第02讲.ppt

硬件描述语言第02讲.ppt

ID:48239916

大小:1.41 MB

页数:29页

时间:2020-01-18

硬件描述语言第02讲.ppt_第1页
硬件描述语言第02讲.ppt_第2页
硬件描述语言第02讲.ppt_第3页
硬件描述语言第02讲.ppt_第4页
硬件描述语言第02讲.ppt_第5页
资源描述:

《硬件描述语言第02讲.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、硬件描述语言及器件主讲教师:苏淑靖12/13学年第1学期教材:侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计.教学安排第1讲:VHDL概述及其基本结构第2讲:VHDL语言的基本元素第3讲:VHDL的结构体描述,进程第4讲:VHDL的顺序语句第5讲:VHDL的并行语句第6讲:基本逻辑电路设计第7讲:计数器和状态机第2讲:VHDL语言的基本元素标识符数据对象数据类型操作符1标识符VHDL语言中标识符是符号书写的一般规则。不仅对电子系统设计工程师是一个约束,也为各种EDA工具提供了标准的书写规范,使之在综合仿真过程中不产生歧义,易于仿真。VHDL语言有两个标准版:VHDL’87版和VHDL

2、’93版。VHDL’87版的标识符语法规则经过扩展后,形成了VHDL’93版的标识符语法规则。前一部分称为短标识符,扩展部分称为扩展标识符。VHDL’93版含有短标识符和扩展标识符两部分。VHDL的短标识符遵守以下规则的字符序列:(1)标示符由英文字母、数字、下划线组成;(2)必须以英文字母打头。(3)字符不区分大小写、数字(0~9)和下划线(_)。(4)下划线前后都必须有英文字母或数字。一般地,对VHDL的保留字:ENTITY,ARCHITECTURE,END,BUS,USE,WHEN,WAIT,IS…在程序书写时,一般要求大写或黑体,使得程序易于阅读,易于检查错误。1短标识符(1)合法

3、的标识符:multi_screensMulti_screensMulti_ScreensMULTI_SCREENS非法标识符:illegal%nameillegal-nameillegal-name3decodeT__2COUNTER_同一标识符1短标识符(1)VHDL’93版增加的标识符书写规则:(1)扩展标识符用反斜杠来定界。multi_screens;(2)允许包含图形符号、空格符。modeA,$100等;(3)反斜杠之间的字符可以用保留字。entity,end等。(4)扩展标识符的界定符两个斜杠之间可以用数字打头。100$,2chip,4screens

4、等。(5)扩展标识符中允许多个下划线相连。Four__screens,TWO_Computer_sharptor等。(6)扩展标识符区分大小写。EDA与eda不同。(7)扩展标识符与短标识符不同。例如:COMPUTER与Computer不同。扩展标识符(2)数据对象:在VHDL语言中,可以赋予一个值的客体(object)。4种基本类型:常量(CONSTANT)、信号(SIGNAL)、变量(VARIABLE)和文件(FILES)。其中文件类型是VHDL’93标准中新增加的。数据对象的物理意义:常量通常表示数字电路中的地和电源;信号表示设计中的某条硬件连接线;变量通常表示

5、暂存某些值的载体;2数据对象常量(constant):是一个固定值;常量说明是对某一常量名赋予一个固定值。常量说明格式:CONSTANT常量名:数据类型:=表达式;例:CONSTANTT1,T2:time:=30ns;CONSTANTD:BIT_VECTOR:=“0001”;注意:常量一旦赋值不能改变;常量赋的值应和数据类型一致;常量必须在实体、结构体或进程的说明区域指定。定义在实体内的常量在实体对应的结构体中可见,定义在进程内的常量仅在进程内使用。2数据对象(常量)变量(VARIABLE):是局部量,用于对中间数据的临时存储。只能在进程、函数和过程中使用。变量说明格式:VARIABLE变

6、量名:数据类型约束条件:=表达式;例:VARIABLEcount:INTEGERRANGE0TO255:=10;变量赋值语句:目标变量名:=表达式(设定值)注意:一旦赋值立即生效,不产生赋值延时。某一时刻仅包含一个值。变量赋值和初始化赋值符号用“:=”表示。在进程中说明的变量,若用于进程外,必须将值赋给一个相同类型的信号,即进程之间传递数据靠的是信号。2数据对象(变量)信号(signal):电子电路内部硬件实体相互连接的抽象。信号声明格式:SIGNAL信号名:数据类型约束条件:=表达式;例:SIGNALsys_clk:BIT:=‘0’;--系统时钟信号信号赋值语句:目标信号名<=表达式(设

7、定值);注意:信号是全局变量,可在进程之间通信;“:=”表示对信号直接赋值或初始赋值,不产生延时;在程序中信号值代入用“<=”带入符,且允许产生延时,是变量之间信号的传递方式,如:T1<=T2;可在结构体、实体、块、包集合中声明和使用信号,在进程和子程序中只能使用信号,不能声明信号;2数据对象(信号)适用范围2数据对象2数据对象在VHDL中,单个位值(bit)用单引号(‘’)标明,而位向量值(bit_vector)用双引

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。