VHDL基本语句.ppt

VHDL基本语句.ppt

ID:48190150

大小:873.50 KB

页数:113页

时间:2020-01-18

VHDL基本语句.ppt_第1页
VHDL基本语句.ppt_第2页
VHDL基本语句.ppt_第3页
VHDL基本语句.ppt_第4页
VHDL基本语句.ppt_第5页
资源描述:

《VHDL基本语句.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、VHDL语言的顺序语句顺序语句(SequentialStatements)用来实现模型的算法描述。这些语句从多侧面完整地描述数字系统的硬件结构和基本逻辑功能,其中包括通信的方式、信号的赋值、多层次的元件例化以及系统行为等。顺序语句和并行语句是VHDL程序设计中两大基本描述语句系列。并行语句(ConcurrentStatements)用来表示各模型算法描述之间的连接关系。顺序语句只能出现在进程(PROCESS)过程(PROCEDURE)函数(FUNCTION)中,其它都是并行语句。顺序语句是相对于并行语句而言的,其特点是每一

2、条顺序语句的执行(指仿真执行)顺序是与它们的书写顺序基本一致的,[理解]一个进程是由一系列顺序语句构成的,而进程本身属并行语句。也就是说,在同一设计实体中,所有的进程是并行执行的,每个进程内部是顺序执行的。VHDL有如下六类基本顺序语句:信号赋值语句变量赋值语句1、赋值语句2、流程控制语句3、等待语句4、子程序调用语句5、返回语句6、空操作语句IF语句CASE语句LOOP语句NEXT语句EXIT语句RETURN语句NULL语句WAIT语句过程调用函数调用对象赋值语句赋值语句的功能就是将一个值或一个表达式的运算结果传递给某一

3、数据对象,如信号或变量,或由此组成的数组。VHDL设计实体内的数据传递以及对端口界面外部数据的读写都必须通过赋值语句的运行来实现。变量赋值与信号赋值在对象赋值语句中,主要分为对变量或信号的赋值操作,其不同点主要表现在以下两个方面:赋值方式的不同;硬件实现的功能不同。信号代表电路单元、功能模块间的互联,代表实际的硬件连线;变量代表电路单元内部的操作,代表暂存的临时数据。所有对象均分为:变量和信号对象。有效范围的不同:信号的作用范围:程序包、实体、结构体;全局量。而变量的作用范围是:进程、子程序;局部量。赋值行为的不同:信号赋

4、值延迟更新数值、时序电路。变量赋值立即更新数值、组合电路。信号的多次赋值对一个进程多次赋值时,只有最后一次赋值有效。多个进程的赋值表示:多源驱动、线与、线或、三态目的变量:=表达式;变量的说明和赋值限定在顺序区域内,即只能在进程或子程序中使用,它无法传递到进程之外。目的信号<=表达式;例:y<=‘1’;例:x:=15;信号赋值可以在顺序区域内作顺序语句,也可以在结构体中当作并行语句使用。赋值语句A、变量赋值语句B、信号赋值语句赋值目标赋值语句中的赋值目标有四种类型。1)标识符赋值目标及数组单元素赋值目标标识符赋值目标是以简

5、单的标识符作为被赋值的信号或变量名。数组单元素赋值目标的表达形式为:数组类信号或变量名(下标名)下标名可以是一个具体的数字,也可以是一个文字表示的数字名,它的取值范围在该数组元素个数范围内。下标名若是未明确表示取值的文字(不可计算值),则在综合时,将耗用较多的硬件资源,且一般情况下不能被综合。段下标元素赋值目标及集合块赋值目标段下标元素赋值目标可用以下方式表示:数组类信号或变量名(下标1TO/DOWNTO下标2)括号中的两个下标必须用具体数值表示,并且其数值范围必须在所定义的数组下标范围内,两个下标的排序方向要符合方向关键

6、词TO或DOWNTO。【例】VARIABLEA,B:STD_LOGIC_VECTOR(1TO4);A(1TO2):="10";--等效于A(1):=‘1’,A(2):=‘0’A(4DOWNTO1):="1011";集合块赋值目标,是以一个集合的方式来赋值的。对目标中的每个元素进行赋值的方式有两种,即位置关联赋值方式和名字关联赋值方式。【例】SIGNALA,B,C,D:STD_LOGIC:SIGNALS:STD_LOGIC_VECTOR(1TO4)...VARIABLEE,F:STD_LOGIC;VARIABLEG:STD_

7、LOGIC_VECTOR(1TO2);VARIABLEH:STD_LOGIC_VECTOR(1TO4);S<=(‘0’,‘1’,‘0’,‘0’);(A,B,C,D)<=S;--位置关联方式赋值...--其他语句(3=>E,4=>F,2=>G(1),1=>G(2)):=H;--名字关联方式赋值,示例中的信号赋值语句属位置关联赋值方式,其赋值结果等效于:A<=‘0’;B<=‘1’;C<=‘0’;D<=‘0’;示例中的变量赋值语句属名字关联赋值方式,赋值结果等效于:G(2):=H(1);G(1):=H(2);E:=H(3);F:

8、=H(4);【例】变量和信号赋值的比较信号赋值:architecturertlofsigissignala,b:std_logic;--定义信号beginprocess(a,b)begina<=b;b<=a;endprocess;endrtl;--结果是a和b的值互换变量赋值:architecturer

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。