(二)VHDL语言程序的基本结构.ppt

(二)VHDL语言程序的基本结构.ppt

ID:48181345

大小:440.00 KB

页数:86页

时间:2020-01-17

(二)VHDL语言程序的基本结构.ppt_第1页
(二)VHDL语言程序的基本结构.ppt_第2页
(二)VHDL语言程序的基本结构.ppt_第3页
(二)VHDL语言程序的基本结构.ppt_第4页
(二)VHDL语言程序的基本结构.ppt_第5页
资源描述:

《(二)VHDL语言程序的基本结构.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、VHDL硬件描述语言第二章VHDL语言程序的基本结构第三章VHDL语言的数据类型及运算操作符第四章VHDL语言构造体的描述方式第五章VHDL语言的主要描述语句第七章基本逻辑电路设计VHDL语言描述数字系统的基本方法在电原理图中,如果要描述一个“与门”,那么在图上画出一个“与门”的逻辑符号就行了,如图1所示。图1“与门”的逻辑符号描述人们看到了这个逻辑符号(如图1所示),就会联想到两件事:(1)确定该逻辑有两个输入信号a和b,有一个输出信号c,而且都是位信号(每个信号占二进制位1位)。(2)根据逻辑

2、符号形状判断,它是一个“与门”,在电路中实现“与”操作,即c=a·b。知道了这两点,该电路的功能就一目了然了。由此我们可推论,要完整地描述一个系统或电路,就必须对输入和输出以及电路的功能这两部分作详细说明,从大规模的CPU到最简单的逻辑门都适用这一法则。从这个认识出发,用VHDL语言描述电路,就是要用相应的语句将电路的输入和输出及电路的功能描述清楚,那么其结果是完全和电原理图描述等效的。在用VHDL语言描述一个“与门”时,其语言描述与电原理图描述的对应关系如图2所示。图2VHDL语言描述与电原理图

3、描述的对应关系第二章:VHDL语言程序的基本结构2.1VHDL语言的基本设计单元构成VHDL语言程序的完整设计单元应由5部分构成:库(Library)说明、包集合(Package)说明、实体(Entity)描述、构造体(Architecture)描述和配置(Configuration)描述。1.最基本的设计单元构成一个最基本的设计单元由实体和构造体两部分组成,如例2-1所示。【例2-1】ENTITYmux2ISPROT(d0,d1,sel:INBIT;q:OUTBIT);ENDmux2;ARCHI

4、TECTURErtlOFmux2ISBEGIN;PROCESS(d0,d1,sel)VARIABLEtmp1,tmp2,tmp3:BIT;BEGINtmp1:=d0ANDsel;tmp2:=d1AND(NOTsel);tmp3:=tmp1ORtmp2;q<=tmp3;ENDPROCESS;ENDrtl;例2-1是一个最基本的设计单元,只有实体和构造体就行了。如前所述,它描述的是一个二选一选择器电路。利用EDA工具可以对它进行编译、综合生成TTL的器件。该设计单元仅由实体和构造体这两个描述部分组成。

5、这是有条件的:第一,在实体和构造体中所使用的数据类型一定是在STD库中定义的,如BIT类型。STD库已自动挂接在VHDL语言的编译器中,因而无需在设计单元描述中进行独立的库声明。第二,设计单元的实体只与一个构造体对应。如前所述,一个实体可以对应多个构造体。在编译时一个实体只能选择某一个构造体进行设计单元编译,这种选择应由配置语句描述。2.一个完整的设计单元构成一个完整的设计单元构成的实例如例2-2所示。【例2-2】LIBRARYIEEE;--库说明USEIEEE.STD_LOGIC_1164.AL

6、L;--包集合说明ENTITYmux2IS--实体说明PORT(d0,d1,sel:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDmux2;ARCHITECTURErtl1OFmux2IS--构造体说明BEGINPROCESS(d0,d1,sel)VARIABLEtmp1,tmp2,tmp3:STD_LOGIC;BEGINtmp1:=d0ANDsel;tmp2:=d1AND(NOTsel);tmp3:=tmp1ORtmp2;ENDPROCESS;ENDrtl1;ARCHITECTU

7、RErtl2OFmux2IS--构造体说明ENDrtl2;CONFIGURATIONrtl_mux2OFmux2IS--配置说明FORrtl1ENDFOR;ENDrtl_mux2;例2-2中的第一行是对设计单元所使用的库进行的描述和说明,这是因为该设计单元使用了IEEE库中定义的包集合。第二行说明使用了IEEE库中定义的1164包集合。这是因为STD_LOGIC数据类型等在该1164包集合中定义。例2-2中包含了一个实体和两个构造体,配置说明编译时应选中rtl1构造体。当然,如果只有一个构造体,配

8、置说明也就可以省略了。2.1.1.实体描述实体在电路描述中主要是说明该电路的输入和输出关系。此外,实体还定义电路名称及构造体中所用参数等。实体描述的一般书写格式如下:ENTITY实体名IS[类属参数说明];[端口说明];END实体名;实体描述从“ENTITY实体名IS”开始,至“END实体名”结束。例如在例2-2中,实体描述可从“ENTITYmux2IS”开始,至“ENDmux2”结束。这里的大写字母表示实体描述的框架,所用的词都是VHDL语言的保留用词,用户在编程中一般不能将其用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。