编码器译码器.pdf

编码器译码器.pdf

ID:48013036

大小:490.46 KB

页数:21页

时间:2020-01-18

编码器译码器.pdf_第1页
编码器译码器.pdf_第2页
编码器译码器.pdf_第3页
编码器译码器.pdf_第4页
编码器译码器.pdf_第5页
资源描述:

《编码器译码器.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、4.4常用组合逻辑集成电路一、编码器(一).编码器的基本概念编码——将某一特定的信号变换为二进制代码。能够实现编码功能的逻辑部件称为编码器。(二)、二进制编码器:用n位的二进制代码对2n个输入信号进行编码的电路。I0Y0I1Y二进制1编码器I2n-1Yn-14.4常用组合逻辑集成电路3位二进制编码器:8个输入端,3个输出端的二进制编码器,常称为8线—3线编码器。3位二进制编码器真值表输入输出IIIIIIIIAAA0123456721010000000000010000000010010000001000010000011000010001000000010010100000

2、010110000000011114.4常用组合逻辑集成电路由真值表写出各输出的逻辑表达式为:A2I4I5I6I7I4I5I6I7AIIIIAIIII1236701357用门电路实现逻辑电路:4.4常用组合逻辑集成电路(三)、8421BCD码编码器(非二进制编码器):将一个十进制数转换为8421BCD码的编码器。例:设计一个键控8421BCD码编码器。ABCDVCC1kΩ×10S0S1S2S3S4S5S6S7S8S94.4常用组合逻辑集成电路解:(1)列出真值表:输入输出SSSSSSSSSSABCD9876543210111111111000001111

3、11110100011111111011001011111101110011111110111101001111011111010111101111110110110111111101111011111111100001111111111001(2)由真值表写输出逻辑表达式:ASSSS8989BSSSSSSSS456745674.4常用组合逻辑集成电路CSSSSSSSS23672367DSSSSSSSSSS1357913579ABCD重新整理得:VCC1kΩ×10ASSS&&&&890BSSSSS14567CSSSS

4、S22367S3DSSSSS13579S4(3)由表达式S5画出逻辑图:S6S7S8S94.4常用组合逻辑集成电路(4)增加编码标志输出GSABCDGS≥1GSABCDS0VCC1kΩ×10&&&&&S0输入输出S1S9S8S2S7S6S5S4S3S2S1S0ABCDGS111111111100000S31111111110000011111111101S4000111111111011S001015111111011100111S61111101111010011111011111S701011111011111101101S81101111111011111

5、011111111S9100010111111111100114.4常用组合逻辑集成电路(四).优先编码器——允许同时输入两个以上信号,并按优先级输出4线—2线优先编码器真值表I0I1I2I3Y1Y0Y1I3I3I2100000YIIIIx1000103321xx1010xxx1114.4常用组合逻辑集成电路集成优先编码器举例——CD4532(8线-3线优先编码器)注意:输入、输出高电平有效。EI为使能输入端(高电平有效),EO为使能输出端(高电平有效),GS为优先编码工作标志(高电平有效)。输入输出EIIIIIIIIIYYYGSEO765432102100XXXX

6、XXXX000001000000000000111XXXXXXX11110101XXXXXX110101001XXXXX1011010001XXXX10010100001XXX011101000001XX0101010000001X00110100000001000104.4常用组合逻辑集成电路(五).编码器的扩展用两片CD4532串行扩展实现的16线—4线优先编码器XXXXXXXXXXXXXXXX1514131211109876543210IIIIIIIIIIIIIIII7654321076543210EIIEICD4532(1)EOEICD4532(0)EOEIOGSY

7、2Y1Y0GSY2Y1Y0≥1≥1≥1≥1GSL3L2L1L04.4常用组合逻辑集成电路二、译码器(一).译码器的基本概念及工作原理译码器——将有特定含义的输入代码翻译出来的电路。将每一个二进制代码转换成与之一一对应的、相互排斥的有效输出信号,这种译码器叫做唯一地址译码器。1.二进制译码器:将n个输入代码转换成2n个输出信号的译码器。x0Y0x1Y二进制1译码器Xn-1Yn2-14.4常用组合逻辑集成电路(二)、集成译码器1.二进制译码器74139——2线—4线译码器74139真值表74139逻辑符号输入输出E

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。