数字电路与逻辑设计综合实验报告

数字电路与逻辑设计综合实验报告

ID:47517639

大小:531.00 KB

页数:32页

时间:2020-01-12

数字电路与逻辑设计综合实验报告_第1页
数字电路与逻辑设计综合实验报告_第2页
数字电路与逻辑设计综合实验报告_第3页
数字电路与逻辑设计综合实验报告_第4页
数字电路与逻辑设计综合实验报告_第5页
资源描述:

《数字电路与逻辑设计综合实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字电路与逻辑设计综合实验报告电子工程学院07805班杨杨数字电路与逻辑设计综合实验报告课题名称:点阵显示控制器学院:电子工程学院学号:072385班内序号:30姓名:杨杨实验指导教师:张永梅课程主讲教师:刘丽华报告提交日期:2009年12月30日北京邮电大学第32页共32页数字电路与逻辑设计综合实验报告电子工程学院07805班杨杨一、课题名称点阵显示控制器二、摘要及关键词本次综合性数字电路实验主要完成了点阵显示控制器的三种功能,分别是光电扫描,字符显示以及动态图像显示。本次实验所采用的软件是Quarte

2、rsⅡ,硬件是EPM7128SLC84-15。实验通过对于8×8点阵来显示相应的图像,分别通过行和列控制显示,显示的频率通过分频来得到。本课题的目的是让我们初步了解点阵结构的显示器,为以后的更进一步学习打下基础。关键词:扫描分频点阵显示三、设计课题的任务要求1.使用8×8点阵做一个基本矩阵,设计扫描控制电路,使光点从左上角像素点开始扫描,终止于右下角像素点,然后周而复始地重复下去,扫过一帧所需时间为16秒;2.用8×8点阵显示字符,每次显示1个字符,至少显示4个字符,每秒切换一个字符;3.用1个8×8点阵

3、显示一幅活动图象或多个字符滚动显示;4.以上三种功能可以手动或自动转换;5.选做:自拟其它功能。四、设计思路和总体结构框图1.设计思路及分块电路设计本次实验的总体设计思路是通过分频器产生的时钟信号进行不同频率的扫描,从而实现不同图像的扫描。1.扫描(hehesm):输入1MHz的时钟信号分频24位,周期为16s,使扫描8×8点阵的时间为第32页共32页数字电路与逻辑设计综合实验报告电子工程学院07805班杨杨固定的16s。24位信号中的18,19,20控制列选通21,22,23控制行选通,从左往右扫描完一

4、行后再转到下一行,依次从上向下逐行扫描信号。2.显示(hehexianshi):显示部分用计数器实现。输入1MHz的时钟信号分频22位得到一个4s的时间周期,每秒显示一个字符。定义20,21为gaowei,0,1,2为diwei,gaowei用来控制四个字符之间的转换,diwei用来控制二极管快速显示行和列的点,视觉上形成暂留现象出现连续的字符。3.滚动(hehegd):滚动部分由计数器和译码器实现。输入1MHz的时钟信号分频22位得到一个4s的时间周期,每秒显示一个字符。定义18,19,20,21为ga

5、owei,0,1,2为diwei,gaowei用来控制16种滚动图案的转换,diwei用来控制二极管快速显示行和列的点,高频扫描每种图案,使视觉上形成自右向左滚动的效果。4.动画(hehedh):由于前面用计数器实现所剩空间较小,故动画部分用状态机实现。输入1MHz的时钟信号分频19位得到一个0.5s的时间周期,定义18,19为gaowei,0为diwei,gaowei控制每一图形的转换,diwei控制二极管快速显示同一图形中的不同状态,以使视觉上形成暂留现象出现连续变化的图案。2.总体结构框图8×8点阵

6、控制器分频模块ClkReset行输出列输出3.整体模块图一、控制器部分的状态转移图和流程图1.状态转移图ResetResetResetSet0Set1Set2ResetResetReset第32页共32页数字电路与逻辑设计综合实验报告电子工程学院07805班杨杨2.流程图Set1=0?显示逐点扫描Set1=1?显示字符Set1=2?显示动画set1=0开始YESNOYESYESNONO一、所实现功能说明1.使用8×8点阵显示屏设计一个点扫描控制电路,循环一周期为16秒,从左上角开始从左往右从上往下扫描至右

7、下角截止,回到起点继续循环扫描。2.显示“2083”4个不同字符,循环周期为4秒,每秒显示一个字符。3.滚动显示“JYDZ..”,循环周期为4秒,使视觉上形成自右向左滚动的效果。4.显示动画方型扩散图案,由中间到四周扩散第32页共32页数字电路与逻辑设计综合实验报告电子工程学院07805班杨杨5.每进行一次切换就从该状态初始状态开始一、所用元器件清单7.5伏稳压电源一块EPM7128SLC84—15实验版一块二、完整源程序1.libraryieee;--扫描程序useieee.std_logic_1164

8、.all;useieee.std_logic_signed.all;entitysaomiaoisport(clk2,reset2:instd_logic;hang2:outstd_logic_vector(7downto0);lie2:outstd_logic_vector(7downto0));endsaomiao;architecturemofsaomiaoissignalchushi:std_logic_vector(

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。