基于FPGA的数据链路误码仪设计

基于FPGA的数据链路误码仪设计

ID:46607955

大小:191.27 KB

页数:4页

时间:2019-11-26

基于FPGA的数据链路误码仪设计_第1页
基于FPGA的数据链路误码仪设计_第2页
基于FPGA的数据链路误码仪设计_第3页
基于FPGA的数据链路误码仪设计_第4页
资源描述:

《基于FPGA的数据链路误码仪设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、September2013Vol.44No.3(serialNo.153)航空电子技术AVIONICSTECHNOLOGY基于FPGA的数据链路误码仪设计李佳,陈顺方,丁勇飞,刘国梁(中国航空无线电电子研究所,上海200241)[摘要]本文对数据链路通信系统中的误码仪进行分析设计,给出了误码率的定义,剖析了误码仪的工作原理,并分别对发射端和接收端两部分进行了描述,介绍了两种典型误码仪的工作方法,其中详细描述了阈值检测法的原理和工作模式。文中实现了发射端和接收端的FPGA设计,给出FPGA设计结论,并最终表述了在数据链路上的应用情况。[关键词

2、]误码率;m序列;同步;阈值[中图分类号]TN919[文献标识码]A[DOI编码]10.3969/j.issn.1006-141X.2013.03.02[文章编号]1006-141X(2013)03-0008-04TheDesignofErrorRateTesterBasedonFPGAinDataTransmissionSystemLIJia,CHENShun-fang,DINGYong-fei,LIUGuo-liang(ChinaNationalAeronauticalRadioElectronicsResearchInstitute,

3、Shanghai200241,China)Abstract:Inthispaper,definitionofErrorRatioindatatransmissionsystemispresentedandprinciplesofERTareanalysed.Boththesenderandthereceiveraredescribedseparately.TwokindsofworkmethodsofErrorRateTesterareintroduced.OneofthemiscalledThresholdValueCheckingMet

4、hod,whoseprincipleandworkingmodearedescribedparticularly.TheFPGAdesignofthesenderandreceiverarepresentedinthisarticle.Inaddition,FPGAdesignresultsareelaboratedanditsapplicationindatatransmissionsystemisdescribedintheend.Keywords:ErrorRatio;mSequence;Synchronize;ThresholdVa

5、lue试人员经常会遇到通信线路连接不通、通信数据接1引言收不到、通信网络规程不匹配或通信网络设备连接由于信道中噪声的加入使得数字通信中接收不上等问题,这时测试通信线路质量的误码仪成了端会不可避免地出现误码。误码率是检验通信设备调试人员不可缺少的软件,误码分析仪给工程实际传输质量的重要指标,误码的检测在数据链路设计应用带来了极大的便利,可以直观准确地将结果显中必不可少,各种各样的误码检测仪也因此应运而示出来。[1]目前使用的误码仪主要为国外产品,以安捷伦生。如何准确地检测出数据链通信系统中的误码AgilentE7580A2M误码仪来说,操作复

6、杂,价格率成为数据链通信系统中十分重要的工作。通信测昂贵,这也代表了相当一部分传统误码仪的特性。8基于FPGA的数据链路误码仪设计李佳等2013年9月第44卷第3期(总第153期)对于通信链路的测试网络来说,误码并不需要很复期一般较短,主要用作单元部件和整机性能的测试杂的误码分析功能,为此,开发研制低成本误码测序列,而在测量通信系统的误码率时,测量结果与试仪是十分有意义的。误码测试仪送出信号的统计特性有关,所以从理论上讲,误码仪发码发生器产生的信号以随机序列为2误码仪原理最佳,然而随机序列难以重复产生,所以在实际测表示误码仪工作状态的物

7、理量是误码率。误码量时不能用随机序列。考虑到伪随机序列具有类似率是指在测量时间内数字码元差错的数目与数字于随机序列的一些统计特性,同时又便于重复产生码元总数之比。其表达式表示为:误码率=误码数/和处理,所以一般用伪随机序列来代替随机序列,[2]总码数。作为被测系统的测试信号。本文误码仪由发射端和接收端两部分组成。设本文的伪随机码发生器采用m序列,产生的二计框图如图1所示。进制伪随机码,具有接近纯随机数字序列的特性。选择23位的m序列,其特征多项式为:523f(x)=1+x+x(1)[3]通过反馈移位寄存器来产生m序列Pn_code,23数值

8、为0或1,周期为(2-1)进行循环。产生的框图如图2所示。z523z图1误码仪设计框图由图1可见,误码仪的工作过程可概括为以下几个步骤:图2伪随机码的产生框图(1)发射端的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。