2016年北航FPGA实验报告材料

2016年北航FPGA实验报告材料

ID:43303091

大小:428.25 KB

页数:32页

时间:2019-09-30

2016年北航FPGA实验报告材料_第1页
2016年北航FPGA实验报告材料_第2页
2016年北航FPGA实验报告材料_第3页
2016年北航FPGA实验报告材料_第4页
2016年北航FPGA实验报告材料_第5页
资源描述:

《2016年北航FPGA实验报告材料》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准文档电气技术实践可编程逻辑器件FPGA应用开发实验报告姓名班级文案大全实用标准文档学号2016年12月文案大全实用标准文档目录一、实验目的1二、实验要求1三、实验内容1四、实验代码及实验结果11、4位二进制加法计数器12、半加器33、RS触发器34、数码管十六进制计数器55、跑马灯76、键盘电路97、LED点阵显示128、多人抢答器18五、实验感想18文案大全实用标准文档一、实验目的1、熟悉使用可编程逻辑器件(Altera公司FPGACyclone系列EP1C6Q)。2、熟悉使用硬件描述语言VHDL

2、。3、掌握FPGA集成环境(Altera公司FPGAQuartusII9.0)开发流程。4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。5、熟悉并掌握下载线方式和下载文件的选择。二、实验要求1、学习并掌握文本、图形等输入和时序、功能仿真方法。2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。3、学习并设计各种不同状态机逻辑功能。4、学习并设计由单一模块→较多功能模块集成→系统集成方法。5、学习并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、

3、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。三、实验内容1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引

4、脚可绑定发光二极管、七段数码管、LED点阵等显示模式。3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。4、用LED点阵显示任意字符、图形等信息。文案大全实用标准文档四、实验代码及实验结果1、4位二进制加法计数器(1)实验代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityerjinzhiisport(clk,rst:instd_logic;q:out

5、std_logic_vector(3downto0));endentityerjinzhi;architecturebhvoferjinzhiissignalq1:std_logic_vector(3downto0);beginprocess(rst,clk)beginif(rst='0')thenq1<="0000";elsif(clk'eventandclk='1')thenq1<=q1+1;endif;endprocess;q<=q1;endarchitecturebhv;文案大全实用标准文档(2)管

6、脚分配(3)实验操作①输入信号clk时钟→把FPGA_EA2_P6(Pin_P20)用导线与(FRQ_Q211Hz)连接、rst清零→N18(SW-1)、输出信号q3→U12(LED1)、q2→V12(LED2);q1→V15(LED3);q0→W13(LED4)。②把输入信号rst设为“1”、clk时钟(FRQ_Q211Hz)用导线与(FRQ_Q211Hz)连接。(4)实验现象输出结果信息为第一、二、三、四个发光二极管按照0000→0001→……→1111循环显示,符合实验要求。(该实验板上低电平为“1”

7、)2、半加器(1)实验原理图文案大全实用标准文档(2)管脚分配(3)实验操作逻辑分析:输入信号a、b;输出信号分别为sum(和)、carry(进位)。逻辑方程:sum=a⊕b;carry=a*b。输入信号a→N18(SW-1)、b→M20(SW-2)、输出信号sum(和)→U12(LED1)、carry(进位)→V12(LED2)(4)实验现象ⅰ输入信号a、b都为“0”,输出结果信息为两个发光二极管均为“灭”,说明和和进位都为0;ⅱ输入信号a为“1”,b为“0”,输出结果信息为第一个发光二极管“灭”,第二个

8、发光二极管“亮”,说明和为“1”,进位为0;ⅲ输入信号a为“0”,b为“1”,输出结果信息为第一个发光二极管“灭”,第二个发光二极管“亮”。说明和为“1”,进位为“0”;ⅳ输入信号a、b都为“1”,输出结果信息为第一个发光二极管“亮”第二个发光二极管“灭”,说明和为“0”,进位为“1”.均符合设计要求3、RS触发器(1)实验代码libraryieee;useieee.std_logic_1164.all;usei

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。