EDA课程设计报告-DDS直接数字合成器设计

EDA课程设计报告-DDS直接数字合成器设计

ID:41887376

大小:459.61 KB

页数:18页

时间:2019-09-04

EDA课程设计报告-DDS直接数字合成器设计_第1页
EDA课程设计报告-DDS直接数字合成器设计_第2页
EDA课程设计报告-DDS直接数字合成器设计_第3页
EDA课程设计报告-DDS直接数字合成器设计_第4页
EDA课程设计报告-DDS直接数字合成器设计_第5页
资源描述:

《EDA课程设计报告-DDS直接数字合成器设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA课程设计报告DDS直接数字合成器设计姓名:班级:学号:指导老师:日期:华南农业大学电子工程学院电子信息工程系摘要木文主要研究了基于直接数字合成器(DirectDigitalSynthesizer)技术的波形发生器(ArbitraryWaveformGenerator)的整体方案和核心部分的硬软件设计。同时,对整个系统的性能进行了理论分析。文屮讨论了DDS的基本概念和理论,在理论研究的基础上,设计了整个系统的完成方案,用复杂可变成逻辑器件(CPLD)完成了DDS主要部分的设计,其中涉及到软件

2、设计的部分都进行了仿真和说明,从完成电路的性能和综合指标看,基木达到了课题的要求。关键字:直接数字合成波形发生器1方案比较与选择21」方案选择21.2方案比较22DDS原理22.1DDS基本结构22.1DDS工作原理33DDS硬件设计53」创龙FPGA开发板53.2TL5724-DAC模块54DDS软件设计64.1DDS驱动代码编程64.2Testbench与ISIM仿真75仿真及下载75」调试75.2仿真75.3下载76讨论及进一步研究建议87课程设计心得9Abstract10参考文献10附录

3、111.方案比较与选择1.1方案选择(1)CPLD,FPGA实现。(2)采用分立IC电路系统实现,一般有CPU,RAM,ROM,D/A,CPLD,模拟滤波器等组成。(3)采用高性能DDS单片电路的解决方案。1・2方案比较因为本次实验要求是:(1)实现锯齿波、正弦波、方波的输岀。(2)实现频率可调,如调节频率倍数10。(3)用VerilogHDL语言对此DDS发生器进行建模。(4)用X订inxISE14.7开发套件进行仿真测试。基于广州创龙公司提供的FPGA开发板,我们选择使用上述方案一。2.DD

4、S原理2.1DDS基本结构直接合成法是用一个或多个石英晶体振荡器的振荡频率作为基准频率,由这些基准频率产生一系列的谐波,这些谐波具有与石英晶体振荡器同样的频率稳定度和准确度;然后,从这一系列的谐波中取岀两个或两个以上的频率进行组合,得出这些频率的和或差,经过适当方式处理(如经过滤波)后,获得所需要的频率。DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。直接数字式频率合成器(DDS)是从相位概念出发直接合成所需波形的一种新的频率合成技术,由相位累加器、波

5、形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。结构框图如图1所示。DDS基本结构框图图12.2DDS工作原理DDS的基木原理是利用采样定理,通过查表法产牛波形[l]o由于,f=/2ttxAT(2-1)其中A0为一个采样间隔T之间的相位增量,采样周期,即:/=(2-2)控制A0就可以控制不同的频率输tBoA0是由频率控制字M控制的,即:△

6、0二(2-3)所以改变M就可以得到不同的输出频率。该DDS系统的核心是相位累加器,它由一个N位加法器和一个N位相位寄存器级联构成。它的作用是在基准时钟源的作用下进行线性累加,当产生溢出时便完成一个周期,即DDS的一个频率周期。加法器将频率控制字M与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率

7、控制字进行线性相位累加。由此,可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,然后输入到正弦查询表地址上。正弦查询表包含一个周期正弦波的数字幅度信息,每个地址对应正弦波屮的0〜360。范围的一个相位点。查询表把输入的地址相位信息映射成正弦波幅度的数字量信号,驱动DAC,输出模拟量。相位寄存器每经过2“/M(其中N是相位累加器的字长,

8、对于AD9834芯片,N二28)个fc时钟后基本冋到初始状态,相应地正弦查询表经过一个循环也基本冋到初始位置,于是整个DDS系统输出一个正弦波周期。在图2-1中,相位累加器输出位并不全部加到查询表,而是只截取高12位。相位截断减小了查询表长度,但并不影响频率分辨率,对最终输出仅增加一个很小的相位噪声。DAC分辨率一般比查询表长度还要小2〜4位。图中的参考时钟是一个稳定的晶体振荡器,用来同步整个合成器的各个组成部分,AD9834用的是50MHz的石英晶体振荡器。D/A变换器把数字量变成模拟量,正弦

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。