北京邮电大学数电实验第四周实验报告

北京邮电大学数电实验第四周实验报告

ID:41775664

大小:198.64 KB

页数:7页

时间:2019-09-01

北京邮电大学数电实验第四周实验报告_第1页
北京邮电大学数电实验第四周实验报告_第2页
北京邮电大学数电实验第四周实验报告_第3页
北京邮电大学数电实验第四周实验报告_第4页
北京邮电大学数电实验第四周实验报告_第5页
资源描述:

《北京邮电大学数电实验第四周实验报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、1.实验名称和实验任务要求:•实验名称:序列信号检测器的设计与实现•实验所使用仪器与元器件:1)计算机;2)直流稳压电源(实际使用时用拨码开关作为输入序列);3)数字系统与逻辑设计实验开发板•试验任务要求:用VHDL语言设计实现一个序列信号检测器,当检测到“101”时,输出为其他情况输出为'(T,仿真验证其功能,并下载到实验班上进行测试。•实验目的:1)熟悉用VIIDL语言设计时序逻辑电路的方法。2)熟悉序列信号检测器的设计方法3)了解状态机的设计方法2.设计思路和过程:设计思路如下:1)明确设计对象的外部特征,即

2、输入信号有:接收到的序列信号input,以及时钟信号elk;输岀信号有检测结果fo2)根据设计对象的操作控制步来确定有限状态机的状态:初始状态为so,接受到‘1’为状态S1,接收到‘10’为状态S2,连续接收到状态‘101’为S3。3)画出状态转移图,并根据其设计代码,图见下页QUARTUSII根据代码所生成的状态转移图:设计过程,参考书本P76的代码,设计实验14的VHDL代码,并在QUARTUS上运行检验。运行出波形后观察是否能检测序列“101”QUARTUSII所生成的器件图形:由QUARTUSII所生成的原

3、件图可知该程序是制作两个输入一个输出的序列检测器,其中两个输入为时钟信号elk,以及序列信号input,输出为结果的显示F。dkinputCurrent-State3.VHDL代码以及注释libraryieee;useieee.std_logic_1164.all;entityd_101isport(elk,input:instd_logic;f:outstd_logic);endd_101;--定义这两个信号用于指定状态机architecturemooreofd_101istypestate_typeis(sO,

4、si,s2,s3);signalcurrent_state,next_state:state_type;be^inPl:process(currentstate,input)--进程Pl描述次态逻辑,敏感信号中包含当前状态current_state以及输入的序列信号input。be^incasecurrent_stdtciswhensO=>if(input=,T)thennext_state〈二si;elsenext_state<=sO;endif;whensi二〉if(input二'O')thennext_sta

5、te<=s2;elsencxt_st3tc〈二si;endif;whens2二〉if(input二T‘)thennext_state<=s3;elsenext_state<=sO;endif;whons3二〉if(input」1,)thenncxt_st3tc〈二si;elsenext_state〈二s2;endif;endcase;endprocess;P2:process(elk)一第二个进程P2描述状态寄存器的逻辑,将次态转化为现态,所以讲时钟作为敏感信号,是一个时钟进程。beginif(elk'eventa

6、ndelk二T‘)thencurrent_state<=next_state;endif;endprocess;P3:process(current_state)--进程P3描述输出逻辑,敏感信号应为当前状态current_state,是一个组合进程begincasecurrentstateiswhensO二〉fX二'0,;whensl=>f<=,O';whens2=>f<=,O';whens3=>f<=1';endcase;endprocess;endmoore;4.仿真波形图仿真波形图如下:3628u$Star

7、t:卜MasterTrneBar15.075n$Pointer363u$Interval使用50M分频时的原件连接图:5.仿真波形图分析:在设置输入序列INPUT的时候,我将其设置为了(考虑时钟为‘1’的情况下)100101010101……的组合。,在序列从左到右沿着时钟信号走过时,T001'的情况F输出与初始一样,为当后面的T0101010101'被检测到时,F也呈周期性的输出符合题目的要求,即做一个“101”序列信号的检测器。6.故障及问题分析•编写代码的时候,检测序列101部分的代码比较关键,因为书上有相关检

8、测110序列信号检测器的代码,所以在写进程Pl,P2的时候一遇到困难,再写P3的时候出了些错误。后面写出状态转移图,清楚了程序操作的步骤,使我很快的改正成功。•运行的时候,因为序列信号的“1”我没有设置正确,并没有出来波形,经过数次尝试之后,我选择了输入的序列信号频率是时钟信号的两倍使问题得以解决。而书本上的图像可能没有取好足够大的时间间隔,使之能忽略5uS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。