北京邮电大学数电实验报告

北京邮电大学数电实验报告

ID:17659170

大小:160.50 KB

页数:5页

时间:2018-09-04

北京邮电大学数电实验报告_第1页
北京邮电大学数电实验报告_第2页
北京邮电大学数电实验报告_第3页
北京邮电大学数电实验报告_第4页
北京邮电大学数电实验报告_第5页
资源描述:

《北京邮电大学数电实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、北京邮电大学数字电路与逻辑设计实验发光二极管走马灯的电路设计与实现实验报告学院:信息与通信工程学院班级:2010211127姓名:付莹学号:10210759班内序号:23【实验目的】(1)进一步了解时序电路描述方法;(2)熟悉状态机的设计方法。【实验所用仪器及元器件】(1)计算机;(2)直流稳压电源;(3)数字系统与逻辑设计实验开发板。【实验任务要求】设计并实现一个控制8个发光二极管亮灭的电路,仿真验证其功能,并下载到实验板测试。(1)单点移动模式:一个点在8个发光二极管上来回的亮(2)幕布式:从中间两个点,同时向两边依次点

2、亮直到全亮,然后再向中间点灭,依次往复。【实验设计思路及过程】(1)设计思路实验要求有两个,一个是单点移动模式,一个是幕布式。通过CASE-WHEN语句实现走马灯的变化。分别定义一个8个变量的数据类型和一个13变量的数据类型,表示一个周期内的灯的变化,并设计一个变量在两种状态间进行切换。此时,需要把所有状态罗列到case-when中去。(2)VHDL代码LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYABCISPORT(A

3、,CLK,RESET:INSTD_LOGIC;DENG:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDABC;ARCHITECTUREAOFABCISTYPESTATE_TEMPis(s0,s1,s2,s3,s4,s5,s6,s7);TYPESTATE_TEMP1is(s0,s1,s2,s3,s4,s5,s6,s7,s00,s01,s02,s03,s04,s05);signalSTATE:STATE_TEMP;signalSTATE1:STATE_TEMP1;BEGINPROCESS(CLK,RESET

4、)BEGINIFRESET='1'THENDENG<="00000000";ELSIF(CLK'EVENTANDCLK='0')THENIFA='0'THEN--KAIMUSHICASESTATE1ISWHENs0=>STATE1<=s1;DENG<="10000000";WHENs1=>STATE1<=s2;DENG<="01000000";WHENs2=>STATE1<=s3;DENG<="00100000";WHENs3=>STATE1<=s4;DENG<="00010000";WHENs4=>STATE1<=s5;D

5、ENG<="00001000";WHENs5=>STATE1<=s6;DENG<="00000100";WHENs6=>STATE1<=s7;DENG<="00000010";WHENs7=>STATE1<=s00;DENG<="00000001";WHENs00=>STATE1<=s01;DENG<="00000010";WHENs01=>STATE1<=s02;DENG<="00000100";WHENs02=>STATE1<=s03;DENG<="00001000";WHENs03=>STATE1<=s04;DENG<

6、="00010000";WHENs04=>STATE1<=s05;DENG<="00100000";WHENs05=>STATE1<=s0;DENG<="01000000";ENDCASE;ELSECASESTATEISWHENs0=>STATE<=s1;DENG<="00011000";WHENs1=>STATE<=s2;DENG<="00111100";WHENs2=>STATE<=s3;DENG<="01111110";WHENs3=>STATE<=s4;DENG<="11111111";WHENs4=>STATE<=

7、s5;DENG<="01111110";WHENs5=>STATE<=s6;DENG<="00111100";WHENs6=>STATE<=s7;DENG<="00011000";WHENs7=>STATE<=s0;DENG<="00000000";ENDCASE;ENDIF;ENDIF;ENDPROCESS;ENDA;【仿真波形及分析】1.仿真波形(1)单点移动式(1)幕布式(1)复位信号1.波形分析(1)单点移动式由图可以看出,当A为0时程序实现单点移动功能,如图所示DENG[7]开始亮,之后依次为DENG[6],DEN

8、G[5],DENG[4],DENG[3],DENG[2],DENG[1],DENG[0],然后DENG[1]也开始亮,依此类推,实现了功能要求(2)幕布式由图可以看出,当A为1时,如图所示,先是中间的两个灯DENG[4],DENG[5]亮,然后扩展到四个灯亮DENG[3]至DENG[6]亮

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。