EDA实验报告5

EDA实验报告5

ID:40545176

大小:58.00 KB

页数:8页

时间:2019-08-04

EDA实验报告5_第1页
EDA实验报告5_第2页
EDA实验报告5_第3页
EDA实验报告5_第4页
EDA实验报告5_第5页
资源描述:

《EDA实验报告5》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、武汉大学计算机学院教学实验报告课程名称大规模集成电路应用成绩教师签名实验名称电子系统实验序号05实验日期2011.11.26姓名学号专业年级-班一、实验目的及实验内容(本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析)小题分实验目的:1.了解电子系统的基本功能;2.掌握电子系统的设计方法;3.熟悉电子系统的工作过程。实验内容:1.设计一个八路彩灯显示系统,要求彩灯用两种节拍交替运行,有以下3种演示花型。花型1:八路彩灯同时亮灭;花型2:八路彩灯每次只有一路灯亮,各路彩灯依次循环亮;花型3:八

2、路彩灯每次四路灯亮,四路灯灭,且亮灭相间,交替亮灭。2.设计一个四组人参加的竞赛抢答系统,要求如下:当某一组参赛者首先按下抢答开关时,相应显示灯亮,此时抢答系统不再接受其他输入信号;回答问题时,有时间显示,且小于等于30秒;当主持人发开始前,若有任一组参赛者按下抢答开关,视为犯规。3.设计一个十字路口交通灯指挥系统,要求如下:主干道和支干道均有红,绿,黄三种信号灯;通常保持主干道绿灯亮,支干道红灯亮,只有当支干道有车时,才转为主干道红灯亮,支干道绿灯亮;绿灯转红灯过程中,先由绿灯转为黄灯,3秒钟,再

3、由黄灯转为红灯,同时对方才由红灯转为绿灯;当两个方向有车时,红绿灯应间隔30秒钟变换一次。在以上三个实验中任选两个实验完成。实验原理:有键盘显示电路,组合逻辑电路和时序逻辑电路二、实验环境及实验步骤(本次实验所使用的器件、仪器设备等的情况;具体的实验步骤)小题分:实验环境:1.HK---PLDVI型实验仪;2.PC机;3.通信线。具体的实验步骤:本次实验选取第一个和第三个实验。1.分析实验要求,进行算法设计,将书本上的源代码在实验平台上进行试验,看能否得出正确结果;2.在此基础之上,对其源代码进行修

4、改,然后经过综合分析,引脚约束,设计实现和下载测试看是否满足实验对他的要求;3.一步一步的进行修改,使它最终满足要求;4.叫辅导老师检查最后的结果。一、实验过程分析(详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过程及方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形等)小题分:一.实验一的代码如下:--库libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;--实体entityligh

5、tisport(clk:instd_logic;--12MHZ=83nsscan:outstd_logic_vector(5downto0);ld:outstd_logic;light:bufferstd_logic_vector(7downto0));endlight;--结构体architecturebehvoflightisconstantlen:integer:=7;signalbanner:std_logic;--节拍转换信号signalclk1,clk2,clk3:std_logic;s

6、ignalcount:std_logic_vector(19downto0);Beginscan<="111111";ld<='0';clk1<=(count(18)andbanner)or(clk2andnotbanner);clk3<=count(18);process(clk)beginifclk'eventandclk='1'thencount<=count+1;endif;endprocess;process(clk3)beginifclk3'eventandclk3='1'thenclk

7、2<=notclk2;endif;endprocess;process(clk)variableflag:bit_vector(2downto0):="000";beginifclk1'eventandclk1='1'thenifflag="000"thenlight<="11111111";flag:="001";elsifflag="001"thenlight<="00000000";flag:="010";elsifflag="010"then--中间向两边亮iflight="00000000

8、"thenlight<="00000001";elselight(lendownto0)<=light(len-1downto0)&'0';endif;iflight(6)='1'thenflag:="011";endif;elsifflag="011"then--两边向中间暗light<="10101010";flag:="100";elsifflag="100"then--奇偶位循环点亮light<="01010101";flag:="101";elsifflag

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。