veriloghdl常用组合电路设计

veriloghdl常用组合电路设计

ID:39991543

大小:714.31 KB

页数:34页

时间:2019-07-16

veriloghdl常用组合电路设计_第1页
veriloghdl常用组合电路设计_第2页
veriloghdl常用组合电路设计_第3页
veriloghdl常用组合电路设计_第4页
veriloghdl常用组合电路设计_第5页
资源描述:

《veriloghdl常用组合电路设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、常用组合电路设计指导主要内容MUX设计加法器电路译码器设计7段显示译码器设计编码器设计组合逻辑电路定义组合逻辑含义:电路任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。组合电路就是由门电路组合而成,电路中没有记忆单元,没有反馈通路。组合逻辑建模方法建模思路:用语言表述出来:针对输入,总有确定的输出,输入一变化,输出就随之变化建模规范:1.过程(进程)之外,本来就是并行,直接建模2.过程(进程)之内:a.所有输入变化立刻变化。b.针对输入,输出有确定值Verilog过程及译码电路always过程语句always定义的过程块是一个电路,

2、电路从上电开始就会一直执行;(从代码一开始就执行,执行完了再回到过程块的最初来执行,周而复始,不会停止,直到代码执行完毕)多路选择器(MUX)用case语句实现多路选择器,一般要求选择信号之间是关联的;Case的多路选择器一般是并行的操作。/******************************************mux4_1.v参考设计(1)******************************************/modulemux4_1(a,b,c,d,sel,dout);inputa,b,c,d;input[1:0]sel;

3、outputdout;regdout;always@(aorborcordorsel)begincase(sel)2’b00:dout=a;2’b01:dout=b;2’b10:dout=c;2’b11:dout=d;endcaseendendmodule/******************************************mux4_1.v参考设计(2)******************************************/modulemux4_1(a,b,c,d,sel,dout);inputa,b,c,d;input[

4、1:0]sel;outputdout;regdout;always@(aorborcordorsel)beginif(sel==2’b00)dout=a;elseif(sel==2’b01)dout=b;elseif(sel==2’b10)dout=c;elsedout=d;endendmodule/******************************************mux4_1.v参考设计(3)******************************************/modulemux4_1(a,b,c,d,sel,dou

5、t);inputa,b,c,d;input[1:0]sel;outputdout;wiredout;assigndout=(sel==2’b00)?a:(sel==2’b01)?b:(sel==2’b10)?c:d;endmodule半加器电路真值表a1a2bc0000011010101101半加器能对两个一位二进制数相加,求得其和值及进位的逻辑电路称为半加器。半加器的特点是:只考虑两个一位二进制数的相加,而不考虑来自低位进位的运算电路,称为半加器。任务7中设计的一位加法器级为半加器。加法器电路根据上述的真值关系,可以得到两个布尔代数表达式如下:根据上述表

6、达式,按照原理图的方法设计加法器,原理图如图1所示。图1一位加法器原理图半加器电路原理图根据上述的真值关系,可以得到两个布尔代数表达式如下:根据上述表达式,按照原理图的方法设计加法器,原理图如图1所示。图1一位加法器原理图/******************************************adder.v参考设计(1)******************************************/moduleadder(a1,a2,b,c);inputa1,a2;outputb;outputc;wireb,c;assignb=a1

7、^a2;assignc=a&b;endmodule/******************************************adder.v参考设计(2)******************************************/moduleadder(a1,a2,b,c);inputa1,a2;outputb;outputc;wireb,c;assign{c,b}=a1+a2;endmodule全加器一位二进制数相加不仅要考虑本位的加数与被加数,还要考虑低位的进位信号,而输出包括本位和以及向高位的进位信号,这就是通常所说的全加器。

8、一位全加器是构成多位加法器的基础,应用非常广泛。一位全加器有三个输

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。