lpm参数化宏模块应用

lpm参数化宏模块应用

ID:39970580

大小:1.24 MB

页数:55页

时间:2019-07-16

lpm参数化宏模块应用_第1页
lpm参数化宏模块应用_第2页
lpm参数化宏模块应用_第3页
lpm参数化宏模块应用_第4页
lpm参数化宏模块应用_第5页
资源描述:

《lpm参数化宏模块应用》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术与VHDL第7章LPM参数化宏模块应用KX康芯科技7.1宏功能模块概述Altera提供的宏功能模块与LPM函数有:类型类型类型类型描述描述描述描述算术组件:包括累加器、加法器、乘法器和LPM算术函数;门电路:包括多路复用器和LPM门函数。I/O组件:包括时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆位收发器块(GXB)、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。存储器编译器:包括FIFOPartitioner、RAM和ROM宏功能模块。存储组件:包括存储器、移位寄存器宏模块和LPM存储器函数。KX康芯科技7.1宏功能模块概述7.1

2、.1知识产权(IP)核的应用AMPP程序MegaCore函数OpenCore评估功能OpenCorePlus硬件评估功能7.1宏功能模块概述7.1.2使用MegaWizardPlug-InManager以下列出了MegaWizardPlug-InManager为用户生成的每个自定义宏功能模块变量而生成的文件。<输出文件>.bsf:BlockEditor中使用的宏功能模块的符号(元件)。<输出文件>.cmp:组件申明文件。<输出文件>.inc:宏功能模块包装文件中模块的AHDL包含文件。<输出文件>.tdf:要在AHDL设计中实例化的宏功能模块包装文件。<输出文件>.vhd:要在V

3、HDL设计中实例化的宏功能模块包装文件。<输出文件>.v:要在VerilogHDL设计中实例化的宏功能模块包装文件。<输出文件>_bb.v:VerilogHDL设计所用宏功能模块包装文件中模块的空体或black-box申明,用于在使用EDA综合工具时指定端口方向。<输出文件>_inst.tdf:宏功能模块包装文件中子设计的AHDL例化示例。<输出文件>_inst.vhd:宏功能模块包装文件中实体的VHDL例化示例。<输出文件>_inst.v:宏功能模块包装文件中模块的VerilogHDL例化示例。7.1宏功能模块概述7.1.3在QuartusII中对宏功能模块进行例化1、在Ver

4、ilogHDL和VHDL中例化2、使用端口和参数定义3、使用端口和参数定义生成宏功能模块计数器加法/减法器乘法器乘-累加器和乘-加法器RAM移位寄存器7.2LPM模块应用实例7.2.1电路设计原理图7-1正弦信号发生器结构框图f=f0/647.2LPM模块应用实例7.2.2定制LPM_ROM初始化数据文件1.建立.mif格式文件【例7-1】WIDTH=8;DEPTH=64;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;CONTENTBEGIN0:FF;1:FE;2:FC;3:F9;4:F5;…(数据略去)3D:FC;3E:FE;3F:FF;END;7.2LP

5、M模块应用实例7.2.2定制LPM_ROM初始化数据文件1.建立.mif格式文件【例7-2】#include#include"math.h"main(){inti;floats;for(i=0;i<1024;i++){s=sin(atan(1)*8*i/1024);printf("%d:%d;",i,(int)((s+1)*1023/2));}}把上述程序编译成程序后,可在DOS命令行下执行命令:romgen>sin_rom.mif;7.2LPM模块应用实例7.2.2定制LPM_ROM初始化数据文件2.建立.hex格式文件图7-2将波形数据填入mif文件表中7.2.2

6、定制LPM_ROM初始化数据文件2.建立.hex格式文件图7-3ASM格式建hex文件7.2.2定制LPM_ROM初始化数据文件2.建立.hex格式文件图7-4sdata.hex文件的放置路径7.2LPM模块应用实例7.2.3定制LPM_ROM元件图7-5定制新的宏功能块7.2LPM模块应用实例7.2.3定制LPM_ROM元件图7-6LPM宏功能块设定7.2.3定制LPM_ROM元件图7-7选择data_rom模块数据线和地址线宽度7.2LPM模块应用实例7.2.3定制LPM_ROM元件图7-8选择地址锁存信号inclock7.2LPM模块应用实例7.2.3定制LPM_ROM元件图7-9调

7、入ROM初始化数据文件并选择在系统读写功能7.2.3定制LPM_ROM元件图7-10LPM_ROM设计完成7.2LPM模块应用实例【例7-3】修改后的用于例化的波形数据ROM文件:data_rom.vhdLIBRARYieee;USEieee.std_logic_1164.all;LIBRARYaltera_mf;USEaltera_mf.altera_mf_components.all;--使用宏功能库中的所有元件EN

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。