lpm_rom模块的使用

lpm_rom模块的使用

ID:40558201

大小:471.00 KB

页数:10页

时间:2019-08-04

lpm_rom模块的使用_第1页
lpm_rom模块的使用_第2页
lpm_rom模块的使用_第3页
lpm_rom模块的使用_第4页
lpm_rom模块的使用_第5页
资源描述:

《lpm_rom模块的使用》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、LPM_ROM的应用该模块为逻辑宏模块存储器。其应用过程如下。1选择模块2点击ok后,得到3属性编辑:可以根据实际需要选择数据宽度和内存的容量,默认是8bit,32个字空间。修改空间和数据属性,Cyclone系列支持最大存储深度4k。该界面可以选择输出引脚的属性,需要把hex文件或者mif其中mif文件或者hex文件可以由多种形式生成。或由多种工具获得,如Quartus的TextFile编辑器、Matlab等。完成设置:放置该模块:按照基本操作步骤添加引脚,并进行编译,排除错误。注意总线形式的引脚设置方法。双击引脚标识,修改对话框中的内容,获得总线连接方式。按照常规的方法

2、建立仿真文件,调入引脚,设置仿真时间,设置信号属性,注意使用tools/option,修改相应的仿真时间属性,这样才能达到理想的效果。对仿真文件的输入信号设定仿真值。修改地址总线的值修改时钟周期值:修改结果可以得到:编译成功后,仿真,获得仿真结果:每个工程文件,应该只有一个波形文件,即.vwf,否则可能仿真失败:仔细观察仿真结果发现,每输出一次内存的内容,需要两个时钟周期。特别注意MATLAB生成mif文件的部分:其程序是:一下程序可以生成,.mif格式文件数据文件,但是QUARTUSII不能直接使用,还要进行修改。x=0:1:255;y=round(50*sin(2*p

3、i*x/255))+50;a=[x;y]fid=fopen('rom.mif','w');fprintf(fid,'%d:%d;',a);fclose(fid);在数据文件开始部分加入以下程序:WIDTH=8;DEPTH=256;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENTBEGIN得到:在结束部分加入结束标识:END可以得到:这样构成的数据文件,.mif可以直接加入到FPGA中。该任务完成。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。