QUARTUS LPM模块功能介绍中文版

QUARTUS LPM模块功能介绍中文版

ID:38583910

大小:53.50 KB

页数:25页

时间:2019-06-15

QUARTUS  LPM模块功能介绍中文版_第1页
QUARTUS  LPM模块功能介绍中文版_第2页
QUARTUS  LPM模块功能介绍中文版_第3页
QUARTUS  LPM模块功能介绍中文版_第4页
QUARTUS  LPM模块功能介绍中文版_第5页
资源描述:

《QUARTUS LPM模块功能介绍中文版》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、QUARTUS8.0LPM模块功能介绍中文版(lwg9982004'sBlog转载)QUARTUS8.0LPM模块功能介绍中文版(lwg9982004'sBlog转载)本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。Megafunctions/LPMTheQuartusIIsoftwareoffersavarietyofmegafunctions,includingthelibraryofparameterizedmodules(LPM)functionsandotherparameterizedfuncti

2、ons.Megafunctionsarelistedbelowbyfunction.Functionsindicatedbyanasterisk(*)areprovidedforbackwardcompatibilityonly.ArithmeticMegaWizardsandMegafunctions:Arithmeticcomponentsincludeaccumulators,adders,multipliers,andLPMarithmeticfunctions.MegaWizardMegafunction(s)CommentsALTACC

3、UMULATEaltaccumulateParameterizedaccumulatormegafunction.参数化的寄存器模块ALTECCaltecc_decoderErrorcorrectioncode(ECC)megafunction.数据代码纠正模块altecc_encoderErrorcorrectioncode(ECC)megafunction.数据代码纠正模块ALTFP_ADD_SUBaltfp_add_subFloating-pointadder/subtractormegafunction.浮点、加法器/减法器模块ALTFP_

4、COMPAREaltfp_compareParameterizedfloating-pointcomparatormegafunction.参数化的浮点比较器模块ALTFP_CONVERTaltfp_convertParameterizedfloating-pointconversionmegafunction.参数化的浮点转换器模块ALTFP_DIValtfp_divParameterizedfloating-pointdividermegafunction.参数化的浮点分配器模块ALTFP_MULTaltfp_multParameterized

5、floating-pointmultipliermegafunction.参数化的浮点乘法器模块ALTFP_SQRTaltfp_sqrtParameterizedfloating-pointsquarerootmegafunction.参数化的浮点平方根模块ALTMEMMULTaltmemmultParameterizedmemorymultipliermegafunction.数化的记忆乘法器模块ALTMULT_ACCUM(MAC)altmult_accumParameterizedmultiply-accumulatemegafunction.

6、参数化的乘-累积模块ALTMULT_ADDaltmult_addParameterizedmultiplier/addermegafunction.参数化的乘法器/加法器模块ALMULT_COMPLEXaltmult_complexParameterizedcomplexmultipliermegafunction.参数化的综合乘法器模块ALTSQRTaltsqrtParameterizedintegersquarerootmegafunction.参数化的整数平方根模块LPM_ABSlpm_absParameterizedabsolutevalu

7、emegafunction.参数化的绝对值模块LPM_ADD_SUBlpm_add_subParameterizedadder/subtractormegafunction.参数化的加法器/减法器模块LPM_COMPARElpm_compareParameterizedcomparatormegafunction.参数化的比较器模块LPM_COUNTERlpm_counterParameterizedcountermegafunction.参数化的计数器模块LPM_DIVIDElpm_divideParameterizeddividermegafu

8、nction.参数化的分配器模块divide*Parameterizeddividermegafunction.参数化的分

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。