如何在Quartus II 里使用Modelsim

如何在Quartus II 里使用Modelsim

ID:39126995

大小:1.06 MB

页数:8页

时间:2019-06-25

如何在Quartus II 里使用Modelsim_第1页
如何在Quartus II 里使用Modelsim_第2页
如何在Quartus II 里使用Modelsim_第3页
如何在Quartus II 里使用Modelsim_第4页
如何在Quartus II 里使用Modelsim_第5页
资源描述:

《如何在Quartus II 里使用Modelsim》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、1.目的当我们使用QuartusII,但是大多数朋友都习惯用ModelsimSE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特别是对初学者来说,使用的时候还是感到一头雾水。本文的目的就是一个如何在Quartus使用Quartus和Modelsim仿真的例子。2.建立QuartusII工程。这里目的只是建立一个很简单很简单的QuartusII的工程,逻辑采用原理图方式绘制。里面就是一个简单的DFF,输入信号:datain,clk输出信号:dataout3.QuartusII内部时序仿真在Quartus内部使用时序

2、仿真,很简单,如果不知道如何使用请参考帮助文件帮助文件的你可以点击Help->Tutorial。里面有很详细的如何创建一个Wave仿真文件的教程这里就不罗嗦了。关于如何仿真这里也不废话了。我们进入核心问题。4.ModelsimSE的仿真4.1生成TestBench文件由于我们上面已经制作了一个时序仿真文件*.vwf.QuartusII提供了把这样文件转换成Testbench的功能。具体的操作如下:在工程菜单里面双击*.vwf文件,是之打开成为当前激活文件。然后点击菜单Files->Export会直接打开一个输出框:我们后面会使用Verilog文件进行仿真,所以这里把后缀名*;.vt改

3、成为*.VOk就生成了TestBeanch文件。4.2设置启动Modelsim如何在QuartusII里面使用Modelsim.只需要在Assignment->setting里面选择如下的选项,在进行整个工程进行编译的时候会自动调用系统的ModelsimSE.在编译最后会调用Modelsim.点击Work你可以看见关于Altera的库文件都编译进来了。下面是重点:新建一个工程文件。然后会弹出如下图选择UseCurrentIni选择添加文件:1.Testbeanch文件,到工程里面2选择Testbeanch模块:仿真模块为XXX_vlg_check_tst.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。