QuartusII开发环境简介

QuartusII开发环境简介

ID:38983156

大小:486.01 KB

页数:12页

时间:2019-06-22

QuartusII开发环境简介_第1页
QuartusII开发环境简介_第2页
QuartusII开发环境简介_第3页
QuartusII开发环境简介_第4页
QuartusII开发环境简介_第5页
资源描述:

《QuartusII开发环境简介》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、QuartusII开发环境简介一.QuartusII概述QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。QuartusII在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusII的更新换代产品,其界面友好,使用便捷。在QuartusII上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。Altera的QuartusII提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编

2、程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。QuartusII设计工具完全支持VHDL、Verylog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusII也可以利用第三方的综合工具,如LeonardoSpectrum、SynplifyPro、FPGAComplierII,并能直接调用这些工具。同样,QuartusII具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,QuartusII与MATLAB和DSPBuilder结合,可以进行基于FPGA的DSP系统开发,是DS

3、P硬件系统实现的关键EDA工具。QuartusII包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis&Synthesis)、适配器(Filter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(DesignAssistant)、EDA网表文件生成器(EDANetlistWriter)和编辑数据接口(ComplierDatabaseInterface)等。可以通过选择StartComplication来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择ComplierTool(Tools菜单),在Comp

4、lierTool窗口中运行该模块来启动编辑器模块。在ComplierTool窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。此外,QuartusII还包含许多十分有用的LPM(LibraryofParameterizedModules)模块,它们是复杂或高级系统构建的重要组成部分,在SOPC设计中被大量使用,也可在QuartusII普通设计文件一起使用。Altera提供的LPM函数均基于Altera器件的结构做了优化设计。在许多实用情况中,必须使用宏功能模块才可以使用一些Altera特定器件的硬件功能。例如各类片上存储器、DSP模块、LVDS驱动器、PLL以及SERDES和DD

5、IO电路模块等。图1-1中所示的上排是QuartusII编译设计主控界面,它显示了QuartusII自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编程文件汇编(装配)、时序参数提取以及编程下载几个步骤。在图1-1下排的流程框图,是与上面的QuartusII设计流程相对照的标准的EDA开发流程。QuartusII编译器支持的硬件描述语言有VHDL(支持VHDL’87及VHDL’97标准)、VerilogHDL及AHDL(AlteraHDL),AHDL是Altera公司自己设计、制定的硬件描述语言,是一种以结构描述方式为主硬件描述语言,只有企业标准。QuartusII

6、允许来自第三方的EDIF文件输入,并提供了很多EDA软件的接口,QuartusII支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块(元件)进行调用,从而解决了原理图与HDL混合输入设计问题。在设计输入之后,QuartusII的编译器将给出设计输入的错误报告。QuartusII拥有良好的设计输入定位器,用于确定文本或图形设计中的错误。对于使用HDL的设计,可以使用QuartusII带有的RTLViewer观察综合后的RTL图。在进行编译后,可对设计进行时序仿真。在作仿真前,需要利用波形编辑器编辑一个波形激励文件,用于仿真验证时的激励。编译和仿真经检测无误后,便可以将下

7、载信息通过QuartusII提供的编程器下载入目标器件中了。编程器Assembler(编程文件汇编)Filter(适配器)Analysis&Synthesis(分析与综合)图形或HDL编辑...........................TimingAnalyzer(时序分析器)下载适配器件综合或编辑设计输入仿真图1-1QuartusII设计流程二.康芯实验箱简介GW48系列SOPC/EDA实

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。