QuartusII开发软件使用教程

QuartusII开发软件使用教程

ID:37323918

大小:5.72 MB

页数:277页

时间:2019-05-21

QuartusII开发软件使用教程_第1页
QuartusII开发软件使用教程_第2页
QuartusII开发软件使用教程_第3页
QuartusII开发软件使用教程_第4页
QuartusII开发软件使用教程_第5页
资源描述:

《QuartusII开发软件使用教程》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第2章QuartusⅡ开发软件第2章QuartusⅡ开发软件 2.1简介2.2QuartusⅡ软件安装2.3QuartusⅡ软件的设计过程2.4设计输入2.5设计项目的编译2.6设计项目的仿真验证2.7时序分析2.8器件编程思考题与练习第2章QuartusⅡ开发软件2.1简介Altera公司的QuartusⅡ软件提供了可编程片上系统(SOPC)设计的一个综合开发环境,是进行SOPC设计的基础。Quartus□Ⅱ集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真。QuartusⅡ设计软件根据设计者需要提供了一个完整的多平台开

2、发环境,它包含整个FPGA和CPLD设计阶段的解决方案。图2.1说明了Quartus□Ⅱ软件的开发流程。第2章QuartusⅡ开发软件设计输入综合布局、布线调试时序分析工程变动管理仿真时序逼近编程、配置图2.1Quartus□Ⅱ软件的开发流程第2章QuartusⅡ开发软件2.1.1图形用户界面设计流程QuartusⅡ软件提供的完整、易于操作的图形用户界面可以完成整个设计流程中的各个阶段。图2.2显示的是QuartusⅡ图形用户界面提供的设计流程中各个阶段的功能。为了与开发软件一致,图中保留了设计流程中各阶段图形用户界面提供的英文描述。第2章QuartusⅡ开发软件设计输入系统级设

3、计·TextEditor·SOPCBuilder·Block&SymbolEditor·DSPBuilder·MegaWizardPlug-InManager·AssignmentEditor·FloorplanEditor嵌入式软件开发·SoftwareBuilder综合·Analysis&Synthesis·VHDLVerilogHDLAHDL、、·DesignAssistant基于块的设计·RTLViewer·LogicLockWindow·FloorplanEditor·VQMWriter布局、布线·Fitter·AssignmentEditorEDA界面·Floorpl

4、anEditor·EDANetlistWriter·ChipEditor·ReportWindow·IncrementalFitting时序逼近·FloorplanEditor·LogicLockWindow时序分析·TimingAnalyzer·ReportWindow调试·SignalTapII仿真·SignalProbe·Simulator·ChipEditor·WaveformEditor·RTLViewer编程工程变动管理·Assembler·ChipEditor·Programmer·ResourcePropertyEditor·ConvertProgrammingF

5、iles·ChangeManager图2.2Quartus□Ⅱ图形用户界面功能第2章QuartusⅡ开发软件2.1.2EDA工具设计流程Quartus□Ⅱ软件允许设计者在设计流程中的各个阶段使用熟悉的第三方EDA工具,设计者可以在Quartus□Ⅱ图形用户界面或命令行可执行文件中使用这些EDA工具。图2.3显示了使用EDA工具的设计流程。第2章QuartusⅡ开发软件设计源文件VHDL设计文件(.vhd)VerilogHDL设计文件(.v)QuartusⅡEDA物理层EDA综合工具分析、综合综合工具QuartusⅡ适配EDIF网表文件(.edf)Verilog或Quartus映射

6、文件(.vqm)QuartusⅡEDA时序分析EDA板级时序分析工具验证工具QuartusEDAⅡEDA形式NetlistWriter验证工具EDA工具的输出文件,包括QuartusⅡ仿真EDA仿真工具Verilog输出文件(.vo)VHDL、输文件(.vho)VQM、文件、标准延迟格式输出文件(.sdo)、测试文件、符合文件、Tcl脚本文件(.tcl)、IBIS输出文件(.ibs)以及STAMPQuartusⅡQuartusⅡ模型文件(.data.mod.lib)、或Assembler编程QuartusⅡ软件EDA工具图2.3EDA工具设计流程第2章QuartusⅡ开发软件Qu

7、artus□Ⅱ软件与它所支持的EDA工具直接通过NativeLink技术实现无缝连接,并允许在Quartus□Ⅱ软件中自动调用第三方EDA工具。2.1.3命令行设计流程Quartus□Ⅱ软件提供完整的命令行界面解决方案。它允许使用者使用命令行可执行文件和选项完成设计流程的每个阶段。使用命令行流程可以降低内存要求,并可使用脚本或标准的命令行选项和命令(包括Tcl命令)控制Quartus□Ⅱ软件和建立Makefile。图2.4显示了有关命令行的设计流程。第2章QuartusⅡ开发软件

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。