QuartusII9.0使用初级教程.doc

QuartusII9.0使用初级教程.doc

ID:62064940

大小:797.50 KB

页数:11页

时间:2021-04-16

QuartusII9.0使用初级教程.doc_第1页
QuartusII9.0使用初级教程.doc_第2页
QuartusII9.0使用初级教程.doc_第3页
QuartusII9.0使用初级教程.doc_第4页
QuartusII9.0使用初级教程.doc_第5页
资源描述:

《QuartusII9.0使用初级教程.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、个人收集整理勿做商业用途QuartusⅡ9.0使用教程(初级)QuartusⅡ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA工具进行初步的学习.使大家以后的数字系统设计更加容易上手。第一步:打开软件快捷工具栏菜单栏资源管理窗口工作区编译及综合的进度栏信息栏l快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏

2、的下拉菜单找到相应的选项。l菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。l编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。l信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。个人收集整理勿做商业用途所建工程的保存路径第二步:新建工程(file>newProjectWizard)1工程名称:顶层模块名(芯片级设计为实体名),要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中

3、。工程名称2添加已有文件(没有已有文件的直接跳过next)个人收集整理勿做商业用途3选择芯片型号(我们选择MAX3000A系列下的EPM3256AQC208-10芯片)选择芯片快速搜索所需的芯片所选的芯片的系列型号4选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next)选择时序分析仪选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择第三方综合工具,如果使用Quartus内部综合工具则选择none个人收集整理勿做商业用途5工程建立完成(点fini

4、sh)工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息。第三步:添加文件(file>new〉VHDLfile),新建完成之后要先保存.个人收集整理勿做商业用途我们选择VHDLfile设计文件格式既选择VHDL文本输入形式第四步:编写程序3—8译码器的VHDL描述源文件如下:libraryieee;useieee.std_logic_1164。all;entitydecoder3_8isport(A:instd_logic_vector(2downto0)

5、;EN:instd_logic;Y:outstd_logic_vector(7downto0));enddecoder3_8;architectureexample_1ofdecoder3_8issignalsel:std_logic_vector(3downto0);beginsel〈=A&EN;withselselectY〈=”11111110”when"0001”,"11111101"when"0011”,”11111011"when"0101”,”11110111"when”0111","1

6、1101111"when”1001","11011111"when"1011",个人收集整理勿做商业用途”10111111”when"1101",”01111111"when"1111”,"11111111"whenothers;endexample_1;然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(startAnalysis&synthesis))语法检查成功,没有error级别以上的错误该窗口显示了语法检查后的详细信息,包括所使用的io口资源的多少等内容,相应的英文名大家可以自己查阅点

7、击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pinplanner))个人收集整理勿做商业用途顶层某块的输入输出口与物理的芯片端口想对应各个端口的输入输出类型双击location为您的输入输出配置引脚(见管脚分配表).管脚分配表信号实验板引出插孔标注芯片引脚号功能ENP4369I/OA2P767I/OA1P758I/OA0P749I/OY0P5037I/OY1P5136I/OY2P5334I/OY3P5433I/OY4P5531I/OY5P5728I/OY6P5926I/OY7P6124I/O

8、选择为使用端口选项卡第七步:整体编译(工具栏的按钮(startComplilation))个人收集整理勿做商业用途该窗口给出综合后代码的资源使用情况既芯片型号等等信息。第八步:功能仿真(直接利用quratus进行功能仿真)1将仿真类型设置为功能仿真(setting〉SimulatorSettings>下拉>Function)Functional表示功能仿真,既不包括时序信息,timinng表示时序仿真。加入线及寄存器的延时信息2建立一个波形文件:个人收集整理勿做商业用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。