基于VerilogHDL设计的交通灯控制系统

基于VerilogHDL设计的交通灯控制系统

ID:38711698

大小:357.50 KB

页数:5页

时间:2019-06-18

基于VerilogHDL设计的交通灯控制系统_第1页
基于VerilogHDL设计的交通灯控制系统_第2页
基于VerilogHDL设计的交通灯控制系统_第3页
基于VerilogHDL设计的交通灯控制系统_第4页
基于VerilogHDL设计的交通灯控制系统_第5页
资源描述:

《基于VerilogHDL设计的交通灯控制系统》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于VerilogHDL设计的交通灯控制系统何 峰(华东师范大学软件学院上海200062)  摘 要:VerilogHDL作为一种规范的硬件描述语言,被广泛应用于电路的设计中。他的设计描述可被不同的工具所支持,可用不同器件来实现。利用VerilogHDL语言自顶向下的设计方法设计交通灯控制系统,使其实现道路交通的正常运转,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过XilinxISE6.02和ModelSim5.6完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实

2、际的交通灯控制系统中。  关键词:VerilogHDL;硬件描述语言;状态;FPGADesignofTrafficLightControllerSystemBasedonVerilogHDLHEFeng(SchoolofSoftware,EastChinaNormalUniversity,Shanghai,200062,China)  Abstract:Asacommonlanguageforthedescriptionofhardware,VerilogHDLiswidelyappliedinc

3、ircuitdesigningThedesigndescriptioncanbesupporttedbydifferenttoolsandimplementedbydifferentdevicesInthispaper,theprocessofdesigningtrafficlightcontrollersystembytheVerilogHDLtopdowndesignmethodispresented,whichhasmadetheroadtrafficworkwell,thedesigno

4、fthissystemhasshownthereadability,portabilityandeasilyunderstandingofVerilogHDLasaharddescriptionlanguageCircuitsynthesisandsimulationareperformedbyXilinxISE6.02andModelSim5.6TheprogramcanbeusedinthetrulytrafficlightcontrollersystembydownloadingtotheF

5、PGAchip  Keywords:VerilogHDL;hardwaredescriptionlanguage;state;FPGA1引言  HDL(HardwareDescriptionLanguage,硬件描述语言)是一种描述硬件所做工作的语言。目前,电子系统向集成化、大规模和高速度等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计方法在业界得到迅猛发展,HDL在这种形势下显示出了巨大的优势,展望将来HDL在硬件设计领域的地位将与C和C++在软件设计领域地位一样,在大规模数字系统

6、的设计中,他将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法而成为主要的硬件描述工具。  VerilogHDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另一种是VHDL。现在他们都已成为IEEE标准。两者各有特点,但VerilogHDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。  本文提出了以VerilogHDL语言为手段,设计了交通灯控制系统。其代码具有良好的可读性和易理解性,源程序经Xilinx公司的XilinxISE6.02和Model

7、Sim5.6软件完成综合、仿真,目标器件选用FPGA器件。2交通灯控制系统的功能  交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中,十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理,本系统就是基于此目的而开发的。3系统设计  城市十字交叉路口红绿灯控制系统主要负责控制东西走向和南北走向的红绿灯的状态和转换顺序,关键是各个状态之间的转换和进行

8、适当的时间延时,正是基于以上考虑,采用如下设计:  (1)当东西走向的绿灯亮时,南北走向的红灯亮,并保持3个clock。  (2)当东西走向的绿、黄灯亮时,南北走向的红灯亮,并保持1个clock。  (3)当东西走向的红灯亮时,南北走向的绿灯亮,并保持3个clock。  (4)当东西走向的红灯亮时,南北走向的绿、黄灯亮,并保持1个clock。  (5)最后又回到(1)状态,并周期重复进行。  基于以上设计,在表1中给出了交通灯控制器的4种状态,对应的状态迁移过程见图1。4系统的Ve

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。