利用键盘控制数码管进行十进制数字显示

利用键盘控制数码管进行十进制数字显示

ID:38291675

大小:1.50 MB

页数:8页

时间:2019-06-07

利用键盘控制数码管进行十进制数字显示_第1页
利用键盘控制数码管进行十进制数字显示_第2页
利用键盘控制数码管进行十进制数字显示_第3页
利用键盘控制数码管进行十进制数字显示_第4页
利用键盘控制数码管进行十进制数字显示_第5页
资源描述:

《利用键盘控制数码管进行十进制数字显示》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、一、课程设计目的1、学习操作数字电路设计实验开发系统,掌握数码管显示模块的工作原理及应用。2、掌握组合逻辑电路、时序逻辑电路的设计方法。3、学习掌握可编程器件设计的全过程。二、课程设计内容和要求2.1、设计内容1、学习掌握键盘控制模块、数码管显示模块的工作原理及应用;2、熟练掌握VHDL编程语言,编写键盘控制模块的控制逻辑。2.2、设计要求1、仿真所编写的程序,模拟验证所编写的模块功能;2、下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数的显示;3、整理设计内容,编写设计说明书。三、 课程设计方案及实现情况3.1、设计思路1、首先设计一个可以实现时序产生信号的电路;2、其次

2、设计一个可以实现键盘扫描的电路;3、再次设计一个可以实现键盘翻译及显示的电路;4、最后将3个模块电路组合成具有实用功能的整合电路。3.2、工作原理及框图1、键盘原理键盘电路网络很像一台微缩的计算机,它拥有自己的处理器和在该处理器之间传输数据的电路,这个电路的很大一部分组成了键矩阵。键矩阵是位于键下方的一种电路网格。在所有的键盘(中,每个电路在每个按键所处的位置点下均处于断开状态。当您按下某个键时,此按键将按下了开关,从而闭合电路,使得少量电流可以通过。开关的机械作用会导致某种振动(称作回弹),处理器可以过滤掉这种振动。如果您按下某键并保持住,则处理器认为您是在反复按下该键。2、键盘中

3、按键的判断对于8键盘中有无键按下的判断是很重要的,具体判断如下:将全部行线置低电平,然后检测列线的状态。只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与行线相交叉的按键之中。若所有列线均为高电平,则键盘中无键按下。在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与低电平的行线交叉处的按键就是闭合的按键。图1键盘的基本电路图3、键盘按键对应的编码表如下SEL2-SEL0KIN3-KIN0对应按键000111000

4、00110160011110100111017010111020111110310011018101110191101110411111105图2键盘控制编码表3.3、各模块功能描述时钟模块8libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydclkisport(clk:instd_logic;divclk:outstd_logic);enddclk;architecturedclk_archofdclkisbeginprocess(clk)variablecnt:std_logic

5、_vector(1downto0);variablea:std_logic;beginifclk'eventandclk='1'thenifa='0'thenifcnt="11"thena:='1';elsecnt:=cnt+1;endif;elsifa='1'thenifcnt="00"thena:='0';elsecnt:=cnt-1;endif;endif;endif;divclk<=a;endprocess;8enddclk_arch;键盘扫描模块libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned

6、.all;entitycntisport(clk:instd_logic;sel2,sel1,sel0:outstd_logic);endcnt;architecturecnt_archofcntisbeginprocess(clk)variablecn:std_logic_vector(2downto0);beginifclk'eventandclk='1'thenifcn="111"thencn:="000";elsecn:=cn+1;endif;endif;sel0<=cn(0);sel1<=cn(1);sel2<=cn(2);endprocess;endcnt_arch;键盘

7、译码显示模块libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;8entityyimaisport(kin3,kin2,kin1,kin0:instd_logic;sel2,sel1,sel0:instd_logic;Y:outstd_logic_vector(9downto0));endyima;architectureyima_archofyimaissignala

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。