QUARTUSII中VHDL文本输入设计方法实验要求

QUARTUSII中VHDL文本输入设计方法实验要求

ID:37900484

大小:7.89 MB

页数:6页

时间:2019-06-02

QUARTUSII中VHDL文本输入设计方法实验要求_第1页
QUARTUSII中VHDL文本输入设计方法实验要求_第2页
QUARTUSII中VHDL文本输入设计方法实验要求_第3页
QUARTUSII中VHDL文本输入设计方法实验要求_第4页
QUARTUSII中VHDL文本输入设计方法实验要求_第5页
资源描述:

《QUARTUSII中VHDL文本输入设计方法实验要求》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验步骤1、编辑输入并存盘VHDL设计文件(1)新建一个文件夹。利用资源管理器新建一个文件夹,如:e:muxfile。注意:文件夹名不能用中文。(2)输入源程序。File®New®“DeviceDesignFiles”®“VHDLFiles”(。然后在VHDL文本编译窗中键入VHDL程序。(3)文件存盘。选择File®SaveAs®已设立的文件夹e:muxfile®mux21a.vhd®“否”。存盘文件名应该与实体名一致,即。当出现问句“Doyouwanttocreate…”时,若选“否”,可按以下的方法进入创建工程流程;若选“是”,则直接进入创建工程流

2、程。2、创建工程图6.6.36创建工程mux21a图6.6.37将相关文件加入工程File®NewPrejectWizard®“Next”®最上一栏右侧的按钮“…”,找到文件夹e:muxfile®mux21a.vhd®点击“打开”®“Next”®“AddAll”®“Next”®“Next”®“Cyclone”®“Yes”®“Next”®“Package”:PQFP;“Pincount”:144;“Speed”:8®EPIC3T144C8®“Next”®“Finish”图6.6.40选定目标器件3、编译前设置Assignmemts®“settings”®“D

3、evice&PinOptions”®General®Auto-restartConfigurationaftererror®加入JTAG用户编码®Configuration®GeneralCompressedbitstreams®ActiveSerial®EPCS1®Processing®“StartCompilation”图6.6.41选择配置器件的工作方式图6.6.42选择配置器件和编程方式并加5、仿真(1)打开波形编辑器。File®New®“OtherFiles”®“VectorWaveformFile”®点击OK,即出现空白的波形编辑器(图6.6.4

4、4)。图6.6.43准备编辑矢量波形文件图6.6.44波形编辑器(2)设置仿真时间区域。Edit®“EndTime”®“Time”®50us®点击OK(3)存盘波形文件。File®“Saveas”,将以名为mux21a.vwf(默认名)的波形文件存入文件夹e:muxfile中。图6.6.45准备向波形编辑器输入信号节点(4)输入信号节点。View®“UtilityWindows”®“NodeFinder”®Filter®Pins:all®“List”,用鼠标将端口引脚a、b、s、y都拖到波形编辑窗,点击波形窗左侧的全屏显示钮,使全屏显示,并点击放大缩小钮

5、后,用鼠标在波形编辑区域左键单击或右键单击,使仿真坐标处于适当位置。(5)编辑输入波形。点击端口信号a,使之变蓝色,再选择菜单Edit®Value®Clock选项,在弹出的Clock窗中设置CLK的周期为3us;所示的Clock窗中的“Dutycycle”是占空比,可选50,即50%占空比;同样方法设置端口信号b的周期为6us,设置s为高电平或低电平,如图6.6.46所示。再保存文件。图6.6.46编辑输入波形(6)启动仿真器。Processing®“StartSimulation”,直到出现“Simulationwassu74essful”,仿真结束。(7

6、)观察仿真结果。仿真波形文件“SimulationReport”通常会自动弹出(图6.6.47)。注意,QuartusII的仿真波形文件中,波形编辑文件(*.vwf)与波形仿真报告文件(SimulationReport)是分开的,而MaxplusII的编辑与仿真报告波形是合二为一的。如果在启动仿真(Processing®RunSimulation)后,并没有出现仿真完成后的波形图,而是出现文字“Can’topenSimulationReportWindow”,但报告仿真成功,则可自己打开仿真波形报告,选择:Processing®SimulationRepor

7、t。图6.6.47仿真波形输出6、引脚锁定、下载与硬件测试图6.6.48AssignmentEditor编辑器为了能对选择器进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上。在此选择GW48-SOPC系统的电路模式No.5,用键1(K1)控制信号s;a、b分别接clock5和clock0;输出信号y接扬声器spker。根据上面确定的实验模式锁定选择器在目标芯片中的具体引脚。SOPC/DSP适配板的引脚情况,通过查阅6.4.1.6节的图6.4.22和6.6.1.3节的芯片引脚对照表来确定。(1)引脚锁定图6.6.49引脚锁定打开mux21a工程(如果刚打

8、开QuartusII,应选择菜单File®OpenP

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。