avalon总线规范

avalon总线规范

ID:36321491

大小:1.30 MB

页数:89页

时间:2019-05-09

avalon总线规范_第1页
avalon总线规范_第2页
avalon总线规范_第3页
avalon总线规范_第4页
avalon总线规范_第5页
资源描述:

《avalon总线规范》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章Avalon总线规范4.1Avalon总线简介Avalon总线由ALTERA公司提出,用于在基于FPGA的片上系统中连接片内处理器和片内外设的总线结构。连接到Avalon总线的设备分为主从设备,并各有其工作模式。简单性,易于理解、易于使用。占用资源少,减少对FPGA片内资源的占用。高性能,Avalon总线可以在每一个总线时钟周期完成一次数据传输。专用的地址总线、数据总线和控制总线:这样Avalon总线模块和片上逻辑之间的接口的得以简化,Avalon外设不需要识别数据和地址周期。continue支持高达1024位的数据宽度,支持不是2的偶数幂的数据宽度。支持同步操作,所

2、有Avalon外设的接口与Avalon交换架构的时钟同步,不需要复杂的握手/应答机制。简化了Avalon接口的时序行为,而且便于集成高速外设。支持动态地址对齐,可以处理具有不同数据宽度的外设间的数据传输,Avalon总线的自动地址对齐功能将自动解决数据宽度不匹配的问题,不需要设计者的干预。Avalon总线规范是一个开放的标准,用户可以在未经授权的情况下使用Avalon总线接口来自定义外设。Avalon总线结构采用交换式的总线结构。SOPCBuilder利用最少的FPGA资源,产生新的最佳Avalon交换架构。4.2Avalon总线基本概念4.2.1Avalon外设和交换架构

3、一个基于Avalon接口的系统会包含很多功能模块,这些功能模块就是Avalon存储器映射外设,通常简称Avalon外设。所谓存储器映射外设是指外设和存储器使用相同的总线来寻址,并且CPU使用访问存储器的指令也用来访问I/O设备。为了能够使用I/O设备,CPU的地址空间必须为I/O设备保留地址。Avalon外设包括存储器,处理器、UART、PIO、定时器和总线桥等。还可以有用户自定义的Avalon外设,用户自定义的外设能称之为Avalon外设,要有连接到Avalon结构的Avalon信号。Avalon外设分为主外设和从外设,能够在Avalon总线上发起总线传输的外设是主外设,

4、从外设只能响应Avalon总线传输,而不能发起总线传输。主外设至少拥有一个连接在Avalon交换架构上的主端口,主外设也可以拥有从端口,使得该外设也可以响应总线上其它主外设发起的总线传输。将Avalon外设连接起来,构成一个大的系统的片上互连逻辑就是Avalon交换架构。Avalon交换架构是一种可自动调整的结构,随着设计者不同设计而做出最优的调整。可以看到外设和存储器可以拥有不同的数据宽度,并且这些外设可以工作在不同的时钟频率。Avalon交换架构支持多个主外设,允许多个主外设同时在不同的从外设进行通信,增加了系统的带宽。这些功能的实现都是靠Avalon交换架构中的地址译

5、码、信号复用、仲裁、地址对齐等逻辑实现的。本章重点讨论Avalon外设和Avalon交换架构之间的互连,主要研究接口级的行为,不关注其内部实现。4.2.2Avalon信号Avalon接口定义了一组信号类型(片选、读使能、写使能、地址、数据等),用于描述主/从外设上基于地址的读写接口。Avalon信号的可配置特性是Avalon接口与传统总线接口的主要区别之一。Avalon外设可以使用一小组信号来实现简单的数据传输,或者使用更多的信号来实现复杂的传输类型。例如ROM接口只需要地址、数据和和片信号就可以了,而高速的存储控制器可能需要更多的信号来支持流水线的突发传输。4.2.3主端

6、口和从端口Avalon端口就是完成通信传输的接口所包含的一组Avalon信号。Avalon端口分为主端口和从端口,主端口可以在Avalon总线上发起数据传输,目标从端口在Avalon总线上响应主端口发起的数据传输。一个Avalon外设可能有一个或多个主端口,一个或多个从端口,也可能既有多个主端口,又有多个从端口。Avalon的主端口和从端口之间没有直接的连接,主、从端口都连接到Avalon交换架构上,由交换架构来完成信号的传递。在传输过程中,主端口和交换架构之间传递的信号与交换架构和从端口之间传递的信号可能有很大的不同。所以,在讨论Avalon传输的时候,必须区分主从端口。

7、4.2.4传输传输是指在Avalon端口和Avalon交换架构之间的数据单元的读/写操作。Avalon传输一次可以传输高达1024位的数据,需要一个或多个时钟周期来完成。在一次传输完成之后,Avalon端口在下一个时钟周期可以进行下一次的传输。Avalon的传输分成两个基本的类别:主传输和从传输。Avalon主端口发起对交换架构的主传输。Avalon从端口响应来自交换架构的传输请求。传输是和端口相关的:主端口只能执行主传输,从端口只能执行从传输。4.2.5主从端口对主从端口对是指在数据传输过程中,通过Avalon交

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。