[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec

[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec

ID:36284411

大小:3.63 MB

页数:48页

时间:2019-05-08

[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec_第1页
[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec_第2页
[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec_第3页
[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec_第4页
[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec_第5页
资源描述:

《[信息与通信]cadence实验系列13_rtl编译和束缚_rcandec》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Cadence实验系列13_ RTL编译和束缚_RTLcompiler&Encounterconformal2010.12.23RTLcompiler(RC)IntroductionRoleinICdesignGUIinterfaceSynthesisflowIntroductionRCisafast,highcapacitysynthesissolutionfordemandingchipdesigns‘Globalfocusedsynthesis’resultsinrapidtimingclosureIntrod

2、uction综合是前端设计的重要步骤HDL代码翻译成门级网表netlist约束条件(达到面积,时序等参数标准)需特定工艺库评价标准:面积,速度,功耗SynthesistoolIC设计综合工具包括SynopsisDC和CadenceRC;在FPGA端,常用的综合工具有Synplicitysynplify和XilinxXSTSynthesis综合的过程分为两步,首先将HDL描述语言翻译成与工艺库无关的门级网表文件,然后通过综合工具优化,映射到跟具体工艺库相关的门级网表。RTLcompiler(RC)Introductio

3、nRoleinICdesignGUIinterfaceSynthesisflowRoleinICdesign前端设计规格制定详细设计HDL编码仿真验证逻辑综合ICprocessAdvantage时序收敛的全局综合工具提高芯片性能缩短设计时间提供高质量的硅片(Qos)RTLcompiler(RC)IntroductionRoleinICdesignGUIinterfaceSynthesisflowStartGUI命令符:rc-guiGeneralViewManubarLogicalviewerHDLviewerSche

4、maticviewerPhysicalviewerManubarManubarLogicalviewerandHDLvewerSchematicviewerRTLcompiler(RC)IntroductionRoleinICdesignGUIinterfaceSynthesisflowSynthesisflowInvoketheRCSpecifythelibraryLoadHDLfilesPerformElaborationApplyconstraintsSynthesizeSavedesignAnalyzeSyn

5、thesisflowset_attributelib_search_path/set_attributehdl_search_pathset_attributelibrary/read_hdlelaboratesetclock[define_cloc

6、k–period–name[clock_ports]]external_delay–inputexternal_delay–outputsynthesize-to_mappedreporttiming>reportarea>

7、a_report_file_name>write–mapped>write_script>Step1InvoketheRCrc-guiStep2Specifythelibrary设置工艺库存放路径set_attributelib_search_path/export/home1/STSY_BB/BB_y29/lib/Synopsys指定要加载的工艺库set_attributelibrary{hjtc18_tt.lib}Step3LoadH

8、DLfilesLoadMipssourcesread_hdl/export/home1/STSY_BB/BB_y29/mips_source/MCore.vread_hdl/export/home1/STSY_BB/BB_y29/mips_source/alu_v2.vread_hdl/export/home1/STSY_BB/BB_y29/m

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。