basys3实验指导手册-v1.0

basys3实验指导手册-v1.0

ID:34612839

大小:4.39 MB

页数:40页

时间:2019-03-08

basys3实验指导手册-v1.0_第1页
basys3实验指导手册-v1.0_第2页
basys3实验指导手册-v1.0_第3页
basys3实验指导手册-v1.0_第4页
basys3实验指导手册-v1.0_第5页
资源描述:

《basys3实验指导手册-v1.0》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基础入门综合进阶1基础入门综合进阶文档版本修改记录表版本号修改日期修改内容V1.02014/9/7初稿2基础入门综合进阶第一章Basys3硬件电路Basys3是围绕着一个XilinxArtix®-7FPGA芯片XC7A35T-1CPG236C搭建的,它提供了完整、随时可以使用的硬件平台,并且它适合于从基本逻辑器件到复杂控制器件的各种主机电路。Basys3板上集成了大量的I/O设备和FPGA所需的支持电路,让您能够构建无数的设计而不需要其他器件。主要规格/特殊功能产品规格:Basys3为想要学习FPGA和数字电路设计的用户提供一个理想的电路设计平

2、台。Basys3板提供完整的硬件存取电路,可以完成从基本逻辑到复杂控制器的设计。四个标准扩展连接器配合用户设计的电路板,或Pmods(Digilent设计的A/D和D/A转换,电机驱动器,传感器输入等)其他功能。扩展信号的8针接口均采用ESD保护,附带的USB电缆,提供电源和编程接口,因此不需要额外配置电源或其他编程电缆,使之成为了入门或复杂数字电路系统设计的完美低成本平台。关键特性:●33,280个逻辑单元,六输入LUT结构●1,800Kbits快速RAM块●5个时钟管理单元,均各含一个锁相环(PLL)●90个DSPslices●内部时钟最高

3、可达450MHz●1个片上模数转换器(XADC)外围设备:●16个拨键开关●16个LED●5个按键开关●4位7段数码管●3个Pmod连接口●一个专用AD信号Pmod接口●12位的VGA输出接口●USB-UART桥●串口flash●用于FPGA编程和通信的USB-JTAG口●可连接鼠标、键盘、记忆棒的USB口3基础入门综合进阶序号描述序号描述1电源指示灯9FPGA配置复位按键2Pmod连接口10编程模式跳线柱3专用模拟信号Pmod连接口11USB连接口44位7段数码管12VGA连接口516个拨键开关13UART/JTAG共用USB接口616个LE

4、D14外部电源接口75个按键开关15电源开关8FPGA编程指示灯16电源选择跳线柱4基础入门综合进阶1.1电源电路Basys3开发板可以通过2种方式进行供电,一种是通过J4的USB端口供电;另一种是通过J6的接线柱进行供电(5V)。通过JP2跳线帽的不同选择进行供电方式的选择。电源开关通过SW16进行控制,LD20为电源开关的指示灯。电源的电路如下图所示:图1USB接口电路说明,如果选用外部电源(即J6)那么应该保证:1,电源电压在4.5V-5.5V范围内;2,至少能提供1A的电流。注意:只有在特别情况下电源电压才可以使用3.6V电压。5基础入

5、门综合进阶1.2LED灯电路LED部分的电路如图所示。当FPGA输出为高电平时,相应的LED点亮;否则,LED熄灭。板上配有16个LED,在实验中灵活应用,可用作标志显示或代码调试的结果显示,既直观明了又简单方便。6基础入门综合进阶1.3拨码开关电路拨码开关的电路如图所示。在使用这个16位拨码开关时请注意一点,当开关打到下档时,表示FPGA的输入为低电平。7基础入门综合进阶1.4按键电路按键部分的电路如图所示。板上配有5个按键,当按键按下时,表示FPGA的相应输入脚为高电平。在学习过程中,我们建议每个工程都有一个复位输入,这对代码调试将大有好处

6、。1.5数码管电路数码管显示部分的电路如图所示。我们使用的是一个四位带小数点的七段共阳数码管,当我们相应的输出脚为低电平时,该段位的LED点亮。位选位也是低电平选通。8基础入门综合进阶1.6VGA显示电路12VGA视频显示部分的电路如图所示。我们所用的电阻搭的12bit(2色)电路,由于没有采用视频专用DAC芯片,所以色彩过渡表现不是十分完美。9基础入门综合进阶1.7IO扩展电路4个标准的扩展连接器(其中一个为专用AD信号Pmod接口)允许设计使用面包板、用户设计的电路或Pmods扩展Basys3板板,(Pmods是价格便宜的模拟和数字I/O模

7、块,能提供一个A/D&D/A转换,电机驱动器,传感器投入和许多其他功能)。8针连接器上的信号免受ESD损害和短路损害,从而确保了在任何环境中的使用寿命更长。1.11FPGA调试及配置电路上电后,Basys3板上必须配置FPGA,然后才能执行任何有用功能。在配置过程中,一“Bit”文件转移到FPGA内存单元中实现逻辑功能和电路互连。借助赛灵思免费的Vivado软件可以通过VHDL,Verilog语言,或基于原理图的源文件创建.bit文件。编程下载:下载程序3种方式:●用Vivado通过JTAG方式下载.bit文件到FPGA芯片。●用Vivado通

8、过QSPI方式下载.bit文件到Flash芯片,实现掉电不易失。●用U盘或移动硬盘通过J2的USB端口下载.bit文件到FPGA芯片(建议将.bit文

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。