利用dc 进行逻辑综合(dc课件整理)new

利用dc 进行逻辑综合(dc课件整理)new

ID:34456183

大小:286.68 KB

页数:30页

时间:2019-03-06

利用dc 进行逻辑综合(dc课件整理)new_第1页
利用dc 进行逻辑综合(dc课件整理)new_第2页
利用dc 进行逻辑综合(dc课件整理)new_第3页
利用dc 进行逻辑综合(dc课件整理)new_第4页
利用dc 进行逻辑综合(dc课件整理)new_第5页
资源描述:

《利用dc 进行逻辑综合(dc课件整理)new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、利用DC进行逻辑综合一.综合流程以及约束的编写:一般来说,集成电路的设计过程可分为前端设计(frontend)和后端设计(backend)两个阶段。在前端设计阶段,根据用户需求,确定设计所要实现的功能和时序,并确定出具体的数字逻辑电路(schematic);在后端设计阶段,由电路逻辑图产生相应的电路版图(layout)。1.1概述芯片综合的过程:芯片的规格说明,芯片设计的划分,预布局,RTL逻辑单元的综合,各逻辑单元的集成,测试,布局规划,布局布线,最终验证等步骤。设计流程与思想概述:一个设计从市场需求到实际应用需

2、要运用工程的概念和方法加以实现,这需要工程人员遵循一定的规则按一定的设计步骤进行操作。下面我们给出了一个设计工程通常的工作步骤(请参见设计流程图)。从图中可以看出对一个完整的设计流程来说,可以将工作划分为两个阶段:前段设计和后端设计。前端工作主要完成IC与通讯整机设计接口问题,以及整个IC的内部总体结构设计;而后端工作则主要是在前段设计的基础上,使用EDA工具,遵循设计流程,完成整个IC设计。1.1.1逻辑综合简介综合就是将设计的原始思想转化为可大规模生产的并可以执行预期功能的器件这一过程。长期以来,硬件描述语言(

3、HDL)只是用于逻辑验证,设计者不得不手工将HDL代码转化为逻辑图并且画出组件间的互连线用以产生门级网表。随着综合工具的改进,这些手工操作渐渐由工具替代了,设计人员可以由工具自动完成HDL代码到门级网表的转化,这项工作就是综合。设计的抽象层级依次为:原始设计思想、器件的功能描述、设计的行为级描述、寄存器传输级(RTL)、门级网表、物理设备。综合的益处有以下几点:可以提高工作效率、代码可复用性(可以通过使用参数化代码、构建好的逻辑块、重新定位新库等手段达到目的)、可验证性、可以更加抽象等等。综合是由约束来驱动的。①环

4、境属性约束:PVT,线负载模型、模式。②设计规则约束:面积,扇出,驱动,负载。③时序约束。设计流程图市场调查与产品分析结构划分和RTL级描述将时钟树的信息传送给DC形式验证(扫描链RTL仿真和时钟树插入后逻辑综合,优化全局布线后进和插入扫描链行STA形式验证(RTL和门级网表)时序满足将要求吗版图设计前的静态时序分析详细布线时序满足要求吗no版图设计后进yes行STA预布局、布局、插入时钟树、全局布线时序满足将要求吗noyes信号一致性(Sign-off)将设计备份综合是基于路径的。设计编辑器(DC)通过使用静态时

5、序分析来计算设计中各路径的时序。时序路径的种类有四种:输入到寄存器;寄存器到寄存器;寄存器到输出;输入到输出1.1.2初始化文件及系统保留变量工艺库一般由ASIC供应商提供,它必须与DC兼容以便用DC进行综合。单元综合的流程:综合的过程=转化+优化+映射。一般,设计的HDL源代码经过转换得到GTECH中间代码文件,然后再经过优化和映射两个过程将GTECH中间文件转化为工艺库中对应的具体单元。GTECH中间文件与具体工艺无关,它只是相当于将HDL源代码利用连接库忠实的转换为门级的逻辑连接结构,如果遇到算符则使用Des

6、ignWare将算术表达式用相应的宏单元代替产生对应的门级结构。关键变量的介绍:1、target_1ibrary变量:是DC中保留的变量,这个变量指定的库是DC用来构建一个电路的。DC进行映射的几个步骤:设置它让它指向你的生产商提供的库文件。使用厂家提供的工艺库中的时序数据计算这些门的时序。从target_1ibrary指定的库中选择功能正确的门。设定目标库使用如下语句:settarget_1ibrary“slow.db”2、1ink_1ibrary变量:是用来解析设计参照的。setlink_library“*sl

7、ow.db”*号代表DC内存,后面接着的是指定的目标库(如果这个变量没有加入目标库的名字则可能会存在单元不能解析的问题)。link_1ibrary中指定的工艺库的名字只与用做参照的单元库有关,在link_1ibrary指定的工艺库不是为了给DC做优化判断的。它主要用在综合的转换阶段用以生成GTECH中间文件。target_1ibrary和link_1ibrary的不同:target_1ibrary指定的工艺库与设计者让DC做优化并与产生最终映射的单元库一致,而link_library指定的库是在DC转换阶段用以生成

8、GTECH中间文件的。3、search_path变量:可以给DC指定寻找文件时的的工作路径。解析时DC首先,寻找内存,然后寻找1ink_1ibrary变量指定的库文件。接着,DC寻找所有的search_path变量中指定的路径。4、symbo1_1ibrary:这个系统变量指定的库包括了工艺库中单元的图形slow.sdb,当使用图形化的前端工具时,它可以用来

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。