基于cpld的步进电机控制new

基于cpld的步进电机控制new

ID:33817476

大小:440.80 KB

页数:3页

时间:2019-02-28

基于cpld的步进电机控制new_第1页
基于cpld的步进电机控制new_第2页
基于cpld的步进电机控制new_第3页
资源描述:

《基于cpld的步进电机控制new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第16卷第4期计算机应用研究与开发铁路Vol.16No.4RAILWAYCOMPUTERAPPLICATIONRESEARCHANDDEVELOPMENT文章编号:1005-8451(2007)04-0011-03基于CPLD的步进电机控制董晓辉,李国宁(兰州交通大学自动化与电器工程学院,兰州730070)摘要:叙述基于CPLD的步进电机的控制,采用VHDL语言实现其控制,并在MAXPLUS2下实现理想的仿真效果。该控制采用CPLD作为核心器件,减少分立元件使用,在实时性和灵活性等性能上都有很大的提高。同时,采用VHDL语言控制

2、可以根据步进电机的不同,改变程序参数就可以实现不同型号步进电机控制,有利于步进电机的广泛应用。关键词:步进电机控制;复杂可编程逻辑器件(CPLD);硬件描述语言(VHDL);控制中图分类号:TN47文献标识码:AStepmotorcontrolbasedonCPLDDONGXiao-hui,LIGuo-ning(InstituteofAutomationandElectroenglineering,LanzhouJiaotongUniversity,Lanzhou730070,China)Abstract:Itwasnarrat

3、edthestep-by-steppedelectricalmachinerycontrolbasedonCPLD,implementeditscontrolwiththeVHDLlanguage,andimplementedtheidealsimulationeffectunderMXPLUS2.ThiscontrolusedCPLDtotakethecorecomponent,toreduceenormouslydiscretecomponentuse,inperformanceandsoontimelinessandfle

4、xibilityallhadtheverybigenhancement.Atthesametime,usedtheVHDLlanguagecontroltobepossibletoactaccordingtostep-by-stepstheelectricalmachinerydifference,thechangeprogramparametermightimplementthedifferentmodeltostep-by-steptheelectricalmachinerycontrol,wasadvantageousin

5、step-by-stepstheelectricalmachinerywidespreadapplication.Keywords:step-by-steppedtheelectricalmachinerycontrol;complexprogrammablelogicdevice;very-high-speedintegratedcircuithardwaredescriptionlanguage;control步进电机是将电脉冲信号转变为角位移或线位个系统的稳定性、可靠性有较大影响,同时在某些移的开环控制元件。在非超载的情况

6、下,电机的转控制场合,其程序处理速度也成为制约提高系统实速、停止的位置只取决于脉冲信号的频率和脉冲时控制性的一个瓶颈。数,而不受负载变化的影响,即给电机加一个脉冲本文采用复杂可编程逻辑器件CPLD(Complex信号,电机则转过一个步距角。这一线性关系的存ProgrammableLogicDevice),通过VHDL语言编程在,加上步进电机只有周期性的误差而无累积误差来实现步进电机的控制。整个系统选用器件少,在等特点,使得在速度、位置等控制领域用步进电机来实时性和灵活性等性能上都有很大的提高,有利于控制变化非常简单,因此广泛应用

7、在数控机床、机步进电机的运动控制。器人、自动化仪表等领域。虽然步进电机已被广泛地应用,但步进电机并不能象普通的直流电机、交1步进电机的运动控制流电机在常规下使用。它必须由双环形脉冲信号、功率驱动电路等组成控制系统方可使用。为了实现步进电机驱动原理是通过对每相线圈中的电流步进电机的运动控制,较多采用的一种方案是以单顺序切换来使电机做步进式旋转,切换是通过片机作为控制系统的微处理器,通过一些大规模集CPLD输出脉冲信号来实现的。所以调节脉冲信号成电路,如8253、8254等来控制其脉冲输出频率和的频率便可以改变步进电机的转速,改变各

8、相脉冲脉冲输出数,实现步进电机的速度和位置定位。但的先后顺序,可以改变电机的旋转方向。步进电机是这种方案中微处理器所需的周边器件较多,对整的转速是可以通过CPLD来受控,控制速度有加速、减速、保持原有状态3种。收稿日期:2006-09-17电机驱动方式可以采用双

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。