基于cpld的步进电机控制器设计_secretnew

基于cpld的步进电机控制器设计_secretnew

ID:33698013

大小:308.31 KB

页数:6页

时间:2019-02-28

基于cpld的步进电机控制器设计_secretnew_第1页
基于cpld的步进电机控制器设计_secretnew_第2页
基于cpld的步进电机控制器设计_secretnew_第3页
基于cpld的步进电机控制器设计_secretnew_第4页
基于cpld的步进电机控制器设计_secretnew_第5页
资源描述:

《基于cpld的步进电机控制器设计_secretnew》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、摘要:本文设计了一种基于CPLD的步进电机控制系统。它以CPLD作为核心器件,极大地减少了外围元件的使用。具有系统扩展性能好、可靠性高、抗干扰能力强、结构简单、成本低廉,不占用CPU时间、易于高速控制等优点。关键词:步进电机,控制器,CPLD1、前言步进电机可在宽广的频率范围内通过改变脉冲频率实现调速、快速起停、正反转控制等,由其组成的开环系统简单、可靠,因此它被广泛的运用于数控机床、自动记录仪、工业机器人、无损检测等系统中,步进电机的性能很大程度上取决于其控制系统[1]。基于CPLD的步进电机控制系统[2]具有I/O端口

2、多,可自由编程定义其功能等特点,大大缩减了电路的体积、提高电路的稳定性。先进的开发工具使整个系统的设计调试周期大大缩短。利用VHDL语言进行软件编程,通过EDA设计软件对程序编译、优化、综合、仿真、适配,可以对步进电机控制实现数字输入。系统外围电路设计相对简单、可靠,且鉴于CPLD和VHDL语言自身的特点,系统具有较好的扩展性,在控制系统中也具有一定的通用性。2、系统设计方案如图1所示。首先输入原始时钟信号,经过分频器得到10ms按键判断周期和频率为2048Hz的时钟信号,2048Hz的信号经过外部硬件分频以后输入芯片,作

3、为电机的转速信号。由按键输入四个控制信号,和电机的转速频率信号一起送入电机控制状态机,由状态机根据不同的输入来选择电机输出控制信号。图1系统设计框图3、系统硬件设计CPLD采用Altera公司MAX7000系列的EPM7128SLC84-15。驱动电路原理图如图2所示。图2驱动电路原理图CPLD输出控制信号连接至图上的A、B、C、D四个端口。其控制信号经光电隔离后进入ULN2003A。ULN2003A是一片集成了7个达林顿管的芯片。来自光耦合的5V高电平信号经过ULN2003A以后,输出端与地导通。步进电机的正极接上12V

4、的工作电压,负级接在ULN2003A的输出端,当CPLD的I/O口为低电平时,步进电机的负极与地开路,正负极之间没有压差,电机不运转;当CPLD的I/O口为高电平时,步进电机的负极与地导通,正负极之间形成12V压差,电机运转。步进电机的转速是由四个线圈的通电速度决定的,也就是由输入脉冲的频率决定的,因此,步进电机的转速选择其实就是输入脉冲的频率选择。本设计采用双四位二进制计数器74LS393N进行硬件分频。频率源为CPLD的2048Hz的频率,将74LS393N内部的T触发器串联,就能将2048Hz的频率分频得到0.5Hz

5、~1024Hz、等比倍率为2的频率,这些频率通过硬件选择器选择输入至CPLD的I/O口就能进行电机转速的控制了。4、软件设计本设计使用QuartusII进行系统的软件设计及仿真。QuartusII是Altera提供的FPGA/CPLD开发集成环境,支持VHDL的硬件描述语言。首先由外部的按键产生RST(复位)、EN(使能)、MODE(模式)、CTRL(转向)四个控制脉冲信号,脉冲信号经过消抖模块进行消抖后送入主控制器。由于EN、MODE、CTRL这三个信号在主控制器内为电平有效,所以在信号送入主控制器之前用T触发器进行电平

6、的锁定。四个控制信号和外部输入的电机转速时钟信号clk_step一同送入主控制器,主控制器经过运算以后得出电机四个相位的通电信号,通电信号经过D触发器消除毛刺以后从芯片I/O口送出。主控制器模块有5个输入信号和4个输出信号,其内部主要结构为一个双向的Moore形状态机,其主要代码如下:libraryieee;useieee.std_logic_1164.all;entityzhuangtaiisport(en,clk,rst,mode,ctrl:instd_logic;——EN为使能信号,RST为复位信号,MODE为电机运

7、转模式信号,CTRL为正反转控制信号,这四个信号为电平有效;CLK为电机转速频率。a,b,c,d:outstd_logic);——A,B,C,D为步进电机四个相位的通电信号。endzhuangtai;architecturezt1ofzhuangtaiistypestatesis(statex,state0,state1,state2,state3,state4,state5,state6,state7);——state0-state7为步进电机通电的不同状态,statex为不通电状态。signalste:states;s

8、ignalq:std_logic_vector(3downto0);——Q为四个相位的输出信号,A,B,C,D分别对应为Q的3,2,1,0位。步进电机可工作在四拍、八拍通电状态,出于对力矩、平稳、噪音及减少角度等方面考虑。往往采用八拍通电顺序,即A-AB-B-BC-C-CD-DA-A这种导电状态,状态转

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。